PageRenderTime 40ms CodeModel.GetById 16ms RepoModel.GetById 0ms app.codeStats 0ms

/jEdit/tags/jedit-4-0-pre3/modes/vhdl.xml

#
XML | 209 lines | 205 code | 3 blank | 1 comment | 0 complexity | e742c6c93e35ffe70ab1dbe26310ec1e MD5 | raw file
Possible License(s): BSD-3-Clause, AGPL-1.0, Apache-2.0, LGPL-2.0, LGPL-3.0, GPL-2.0, CC-BY-SA-3.0, LGPL-2.1, GPL-3.0, MPL-2.0-no-copyleft-exception, IPL-1.0
  1. <?xml version="1.0"?>
  2. <!-- VHDL mode, contributed by Dante Fabrizio and Nitsan Vardi -->
  3. <!DOCTYPE MODE SYSTEM "xmode.dtd">
  4. <MODE>
  5. <PROPS>
  6. <PROPERTY NAME="label" VALUE="VHDL" />
  7. <PROPERTY NAME="lineComment" VALUE="--" />
  8. </PROPS>
  9. <RULES ESCAPE="\">
  10. <!-- whitespace: (space and tab) -->
  11. <WHITESPACE> </WHITESPACE>
  12. <WHITESPACE> </WHITESPACE>
  13. <SPAN TYPE="LITERAL1" NO_LINE_BREAK="TRUE">
  14. <BEGIN>"</BEGIN>
  15. <END>"</END>
  16. </SPAN>
  17. <SPAN TYPE="LITERAL1" NO_LINE_BREAK="TRUE">
  18. <BEGIN>'</BEGIN>
  19. <END>'</END>
  20. </SPAN>
  21. <EOL_SPAN TYPE="COMMENT1">--</EOL_SPAN>
  22. <SEQ TYPE="NULL">)</SEQ>
  23. <SEQ TYPE="NULL">(</SEQ>
  24. <SEQ TYPE="OPERATOR">=</SEQ>
  25. <SEQ TYPE="OPERATOR">/=</SEQ>
  26. <SEQ TYPE="OPERATOR">!</SEQ>
  27. <SEQ TYPE="OPERATOR">:</SEQ>
  28. <SEQ TYPE="OPERATOR">&gt;=</SEQ>
  29. <SEQ TYPE="OPERATOR">&gt;</SEQ>
  30. <SEQ TYPE="OPERATOR">&lt;=</SEQ>
  31. <SEQ TYPE="OPERATOR">&lt;</SEQ>
  32. <SEQ TYPE="OPERATOR">+</SEQ>
  33. <SEQ TYPE="OPERATOR">-</SEQ>
  34. <SEQ TYPE="OPERATOR">/</SEQ>
  35. <SEQ TYPE="OPERATOR">*</SEQ>
  36. <SEQ TYPE="OPERATOR">**</SEQ>
  37. <SEQ TYPE="OPERATOR">%</SEQ>
  38. <SEQ TYPE="OPERATOR">&amp;</SEQ>
  39. <SEQ TYPE="OPERATOR">|</SEQ>
  40. <SEQ TYPE="OPERATOR">^</SEQ>
  41. <SEQ TYPE="OPERATOR">~</SEQ>
  42. <SEQ TYPE="NULL">}</SEQ>
  43. <SEQ TYPE="NULL">{</SEQ>
  44. <SEQ TYPE="NULL">.</SEQ>
  45. <SEQ TYPE="NULL">,</SEQ>
  46. <SEQ TYPE="NULL">;</SEQ>
  47. <SEQ TYPE="NULL">]</SEQ>
  48. <SEQ TYPE="NULL">[</SEQ>
  49. <SEQ TYPE="NULL">?</SEQ>
  50. <MARK_PREVIOUS TYPE="LABEL" EXCLUDE_MATCH="TRUE"
  51. AT_LINE_START="FALSE">: </MARK_PREVIOUS>
  52. <SEQ TYPE="NULL">:</SEQ>
  53. <SEQ TYPE="NULL">'</SEQ>
  54. <KEYWORDS IGNORE_CASE="TRUE">
  55. <KEYWORD1>architecture</KEYWORD1>
  56. <KEYWORD1>alias</KEYWORD1>
  57. <KEYWORD1>assert</KEYWORD1>
  58. <KEYWORD1>entity</KEYWORD1>
  59. <KEYWORD1>process</KEYWORD1>
  60. <KEYWORD1>variable</KEYWORD1>
  61. <KEYWORD1>signal</KEYWORD1>
  62. <KEYWORD1>function</KEYWORD1>
  63. <KEYWORD1>generic</KEYWORD1>
  64. <KEYWORD1>in</KEYWORD1>
  65. <KEYWORD1>out</KEYWORD1>
  66. <KEYWORD1>inout</KEYWORD1>
  67. <KEYWORD1>begin</KEYWORD1>
  68. <KEYWORD1>end</KEYWORD1>
  69. <KEYWORD1>component</KEYWORD1>
  70. <KEYWORD1>use</KEYWORD1>
  71. <KEYWORD1>library</KEYWORD1>
  72. <KEYWORD1>loop</KEYWORD1>
  73. <KEYWORD1>constant</KEYWORD1>
  74. <KEYWORD1>break</KEYWORD1>
  75. <KEYWORD1>case</KEYWORD1>
  76. <KEYWORD1>port</KEYWORD1>
  77. <KEYWORD1>is</KEYWORD1>
  78. <KEYWORD1>to</KEYWORD1>
  79. <KEYWORD1>of</KEYWORD1>
  80. <KEYWORD1>array</KEYWORD1>
  81. <KEYWORD1>catch</KEYWORD1>
  82. <KEYWORD1>continue</KEYWORD1>
  83. <KEYWORD1>default</KEYWORD1>
  84. <KEYWORD1>do</KEYWORD1>
  85. <KEYWORD1>else</KEYWORD1>
  86. <KEYWORD1>elsif</KEYWORD1>
  87. <KEYWORD1>when</KEYWORD1>
  88. <KEYWORD1>then</KEYWORD1>
  89. <KEYWORD1>downto</KEYWORD1>
  90. <KEYWORD1>upto</KEYWORD1>
  91. <KEYWORD1>extends</KEYWORD1>
  92. <KEYWORD1>for</KEYWORD1>
  93. <KEYWORD1>if</KEYWORD1>
  94. <KEYWORD1>implements</KEYWORD1>
  95. <KEYWORD1>instanceof</KEYWORD1>
  96. <KEYWORD1>return</KEYWORD1>
  97. <KEYWORD1>static</KEYWORD1>
  98. <KEYWORD1>switch</KEYWORD1>
  99. <KEYWORD1>type</KEYWORD1>
  100. <KEYWORD1>while</KEYWORD1>
  101. <KEYWORD1>others</KEYWORD1>
  102. <KEYWORD1>all</KEYWORD1>
  103. <KEYWORD1>record</KEYWORD1>
  104. <KEYWORD1>range</KEYWORD1>
  105. <KEYWORD1>wait</KEYWORD1>
  106. <KEYWORD2>package</KEYWORD2>
  107. <KEYWORD2>import</KEYWORD2>
  108. <KEYWORD2>std_logic</KEYWORD2>
  109. <KEYWORD2>std_ulogic</KEYWORD2>
  110. <KEYWORD2>std_logic_vector</KEYWORD2>
  111. <KEYWORD2>std_ulogic_vector</KEYWORD2>
  112. <KEYWORD2>integer</KEYWORD2>
  113. <KEYWORD2>natural</KEYWORD2>
  114. <KEYWORD2>bit</KEYWORD2>
  115. <KEYWORD2>bit_vector</KEYWORD2>
  116. <OPERATOR>or</OPERATOR>
  117. <OPERATOR>nor</OPERATOR>
  118. <OPERATOR>not</OPERATOR>
  119. <OPERATOR>nand</OPERATOR>
  120. <OPERATOR>and</OPERATOR>
  121. <OPERATOR>xnor</OPERATOR>
  122. <OPERATOR>sll</OPERATOR>
  123. <OPERATOR>srl</OPERATOR>
  124. <OPERATOR>sla</OPERATOR>
  125. <OPERATOR>sra</OPERATOR>
  126. <OPERATOR>rol</OPERATOR>
  127. <OPERATOR>ror</OPERATOR>
  128. <OPERATOR>or</OPERATOR>
  129. <OPERATOR>or</OPERATOR>
  130. <OPERATOR>mod</OPERATOR>
  131. <OPERATOR>rem</OPERATOR>
  132. <OPERATOR>abs</OPERATOR>
  133. <KEYWORD3>EVENT</KEYWORD3>
  134. <KEYWORD3>BASE</KEYWORD3>
  135. <KEYWORD3>LEFT</KEYWORD3>
  136. <KEYWORD3>RIGHT</KEYWORD3>
  137. <KEYWORD3>LOW</KEYWORD3>
  138. <KEYWORD3>HIGH</KEYWORD3>
  139. <KEYWORD3>ASCENDING</KEYWORD3>
  140. <KEYWORD3>IMAGE</KEYWORD3>
  141. <KEYWORD3>VALUE</KEYWORD3>
  142. <KEYWORD3>POS</KEYWORD3>
  143. <KEYWORD3>VAL</KEYWORD3>
  144. <KEYWORD3>SUCC</KEYWORD3>
  145. <KEYWORD3>VAL</KEYWORD3>
  146. <KEYWORD3>POS</KEYWORD3>
  147. <KEYWORD3>PRED</KEYWORD3>
  148. <KEYWORD3>VAL</KEYWORD3>
  149. <KEYWORD3>POS</KEYWORD3>
  150. <KEYWORD3>LEFTOF</KEYWORD3>
  151. <KEYWORD3>RIGHTOF</KEYWORD3>
  152. <KEYWORD3>LEFT</KEYWORD3>
  153. <KEYWORD3>RIGHT</KEYWORD3>
  154. <KEYWORD3>LOW</KEYWORD3>
  155. <KEYWORD3>HIGH</KEYWORD3>
  156. <KEYWORD3>RANGE</KEYWORD3>
  157. <KEYWORD3>REVERSE</KEYWORD3>
  158. <KEYWORD3>LENGTH</KEYWORD3>
  159. <KEYWORD3>ASCENDING</KEYWORD3>
  160. <KEYWORD3>DELAYED</KEYWORD3>
  161. <KEYWORD3>STABLE</KEYWORD3>
  162. <KEYWORD3>QUIET</KEYWORD3>
  163. <KEYWORD3>TRANSACTION</KEYWORD3>
  164. <KEYWORD3>EVENT</KEYWORD3>
  165. <KEYWORD3>ACTIVE</KEYWORD3>
  166. <KEYWORD3>LAST</KEYWORD3>
  167. <KEYWORD3>LAST</KEYWORD3>
  168. <KEYWORD3>LAST</KEYWORD3>
  169. <KEYWORD3>DRIVING</KEYWORD3>
  170. <KEYWORD3>DRIVING</KEYWORD3>
  171. <KEYWORD3>SIMPLE</KEYWORD3>
  172. <KEYWORD3>INSTANCE</KEYWORD3>
  173. <KEYWORD3>PATH</KEYWORD3>
  174. <FUNCTION>rising_edge</FUNCTION>
  175. <FUNCTION>shift_left</FUNCTION>
  176. <FUNCTION>shift_right</FUNCTION>
  177. <FUNCTION>rotate_left</FUNCTION>
  178. <FUNCTION>rotate_right</FUNCTION>
  179. <FUNCTION>resize</FUNCTION>
  180. <FUNCTION>std_match</FUNCTION>
  181. <FUNCTION>to_integer</FUNCTION>
  182. <FUNCTION>to_unsigned</FUNCTION>
  183. <FUNCTION>to_signed</FUNCTION>
  184. <FUNCTION>unsigned</FUNCTION>
  185. <FUNCTION>signed</FUNCTION>
  186. <FUNCTION>to_bit</FUNCTION>
  187. <FUNCTION>to_bitvector</FUNCTION>
  188. <FUNCTION>to_stdulogic</FUNCTION>
  189. <FUNCTION>to_stdlogicvector</FUNCTION>
  190. <FUNCTION>to_stdulogicvector</FUNCTION>
  191. <LITERAL2>false</LITERAL2>
  192. <LITERAL2>true</LITERAL2>
  193. </KEYWORDS>
  194. </RULES>
  195. </MODE>