/dvbt/trunk/debug/subblocks/wrapper/simulation/modelsim/pwapper.vo

http://github.com/zaqwes8811/decoder-reed-solomon · Unknown · 1291 lines · 1182 code · 109 blank · 0 comment · 0 complexity · ef23e29aff9bf5ea80095b79e19131cd MD5 · raw file

  1. // Copyright (C) 1991-2010 Altera Corporation
  2. // Your use of Altera Corporation's design tools, logic functions
  3. // and other software and tools, and its AMPP partner logic
  4. // functions, and any output files from any of the foregoing
  5. // (including device programming or simulation files), and any
  6. // associated documentation or information are expressly subject
  7. // to the terms and conditions of the Altera Program License
  8. // Subscription Agreement, Altera MegaCore Function License
  9. // Agreement, or other applicable license agreement, including,
  10. // without limitation, that your use is for the sole purpose of
  11. // programming logic devices manufactured by Altera and sold by
  12. // Altera or its authorized distributors. Please refer to the
  13. // applicable agreement for further details.
  14. // VENDOR "Altera"
  15. // PROGRAM "Quartus II"
  16. // VERSION "Version 10.1 Build 153 11/29/2010 SJ Web Edition"
  17. // DATE "05/07/2011 14:41:35"
  18. //
  19. // Device: Altera EP3C5F256C6 Package FBGA256
  20. //
  21. //
  22. // This Verilog file should be used for ModelSim-Altera (Verilog) only
  23. //
  24. `timescale 1 ps/ 1 ps
  25. module stage_wrapper_rtl (
  26. clk,
  27. rst,
  28. clk_ena,
  29. first,
  30. windows,
  31. last,
  32. pin,
  33. pin_latch,
  34. pout);
  35. input clk;
  36. input rst;
  37. input clk_ena;
  38. input first;
  39. output windows;
  40. output last;
  41. input [7:0] pin;
  42. output [7:0] pin_latch;
  43. output [7:0] pout;
  44. // Design Ports Information
  45. // windows => Location: PIN_N2, I/O Standard: 2.5 V, Current Strength: Default
  46. // last => Location: PIN_K1, I/O Standard: 2.5 V, Current Strength: Default
  47. // pin_latch[0] => Location: PIN_L4, I/O Standard: 2.5 V, Current Strength: Default
  48. // pin_latch[1] => Location: PIN_J1, I/O Standard: 2.5 V, Current Strength: Default
  49. // pin_latch[2] => Location: PIN_K5, I/O Standard: 2.5 V, Current Strength: Default
  50. // pin_latch[3] => Location: PIN_A3, I/O Standard: 2.5 V, Current Strength: Default
  51. // pin_latch[4] => Location: PIN_L2, I/O Standard: 2.5 V, Current Strength: Default
  52. // pin_latch[5] => Location: PIN_J2, I/O Standard: 2.5 V, Current Strength: Default
  53. // pin_latch[6] => Location: PIN_F1, I/O Standard: 2.5 V, Current Strength: Default
  54. // pin_latch[7] => Location: PIN_R1, I/O Standard: 2.5 V, Current Strength: Default
  55. // pout[0] => Location: PIN_K2, I/O Standard: 2.5 V, Current Strength: Default
  56. // pout[1] => Location: PIN_T4, I/O Standard: 2.5 V, Current Strength: Default
  57. // pout[2] => Location: PIN_F3, I/O Standard: 2.5 V, Current Strength: Default
  58. // pout[3] => Location: PIN_L3, I/O Standard: 2.5 V, Current Strength: Default
  59. // pout[4] => Location: PIN_A12, I/O Standard: 2.5 V, Current Strength: Default
  60. // pout[5] => Location: PIN_N9, I/O Standard: 2.5 V, Current Strength: Default
  61. // pout[6] => Location: PIN_H15, I/O Standard: 2.5 V, Current Strength: Default
  62. // pout[7] => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default
  63. // first => Location: PIN_T3, I/O Standard: 2.5 V, Current Strength: Default
  64. // clk => Location: PIN_E2, I/O Standard: 2.5 V, Current Strength: Default
  65. // rst => Location: PIN_E1, I/O Standard: 2.5 V, Current Strength: Default
  66. // clk_ena => Location: PIN_K6, I/O Standard: 2.5 V, Current Strength: Default
  67. // pin[0] => Location: PIN_L6, I/O Standard: 2.5 V, Current Strength: Default
  68. // pin[1] => Location: PIN_L12, I/O Standard: 2.5 V, Current Strength: Default
  69. // pin[2] => Location: PIN_L1, I/O Standard: 2.5 V, Current Strength: Default
  70. // pin[3] => Location: PIN_L9, I/O Standard: 2.5 V, Current Strength: Default
  71. // pin[4] => Location: PIN_P1, I/O Standard: 2.5 V, Current Strength: Default
  72. // pin[5] => Location: PIN_N1, I/O Standard: 2.5 V, Current Strength: Default
  73. // pin[6] => Location: PIN_B7, I/O Standard: 2.5 V, Current Strength: Default
  74. // pin[7] => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default
  75. wire gnd;
  76. wire vcc;
  77. wire unknown;
  78. assign gnd = 1'b0;
  79. assign vcc = 1'b1;
  80. assign unknown = 1'bx;
  81. tri1 devclrn;
  82. tri1 devpor;
  83. tri1 devoe;
  84. // synopsys translate_off
  85. initial $sdf_annotate("pwapper_v.sdo");
  86. // synopsys translate_on
  87. wire \label_sm|label_cl|count[3]~14_combout ;
  88. wire \label_sm|label_cl|count[6]~20_combout ;
  89. wire \clk_ena~input_o ;
  90. wire \first~input_o ;
  91. wire \label_sm|label_cl|count[0]~8_combout ;
  92. wire \rst~input_o ;
  93. wire \rst~inputclkctrl_outclk ;
  94. wire \label_sm|label_cl|count[0]~9 ;
  95. wire \label_sm|label_cl|count[1]~10_combout ;
  96. wire \label_sm|label_cl|count[1]~11 ;
  97. wire \label_sm|label_cl|count[2]~12_combout ;
  98. wire \label_sm|label_cl|count[2]~13 ;
  99. wire \label_sm|label_cl|count[3]~15 ;
  100. wire \label_sm|label_cl|count[4]~16_combout ;
  101. wire \label_sm|label_cl|count[4]~17 ;
  102. wire \label_sm|label_cl|count[5]~18_combout ;
  103. wire \label_sm|label_cl|count[5]~19 ;
  104. wire \label_sm|label_cl|count[6]~21 ;
  105. wire \label_sm|label_cl|count[7]~22_combout ;
  106. wire \label_sm|label_cl|Equal0~1_combout ;
  107. wire \label_sm|label_cl|Equal0~0_combout ;
  108. wire \label_sm|label_aff|q[0]~0_combout ;
  109. wire \label_sm|windows~combout ;
  110. wire \clk~input_o ;
  111. wire \clk~inputclkctrl_outclk ;
  112. wire \label_aff_out_ena|q[0]~0_combout ;
  113. wire \pin[0]~input_o ;
  114. wire \label_aff_in|q[0]~feeder_combout ;
  115. wire \pin[1]~input_o ;
  116. wire \pin[2]~input_o ;
  117. wire \pin[3]~input_o ;
  118. wire \label_aff_in|q[3]~feeder_combout ;
  119. wire \pin[4]~input_o ;
  120. wire \label_aff_in|q[4]~feeder_combout ;
  121. wire \pin[5]~input_o ;
  122. wire \pin[6]~input_o ;
  123. wire \label_aff_in|q[6]~feeder_combout ;
  124. wire \pin[7]~input_o ;
  125. wire \label_aff_out|q[0]~feeder_combout ;
  126. wire \label_sm|label_cl|Equal0~2_combout ;
  127. wire \label_aff_out|q[1]~feeder_combout ;
  128. wire \label_aff_out|q[3]~feeder_combout ;
  129. wire [0:0] \label_aff_out_ena|q ;
  130. wire [7:0] \label_aff_in|q ;
  131. wire [8:0] \label_sm|label_aff|q ;
  132. wire [7:0] \label_sm|label_cl|count ;
  133. wire [7:0] \label_aff_out|q ;
  134. // Location: FF_X2_Y6_N7
  135. dffeas \label_sm|label_cl|count[3] (
  136. .clk(\clk~inputclkctrl_outclk ),
  137. .d(\label_sm|label_cl|count[3]~14_combout ),
  138. .asdata(vcc),
  139. .clrn(!\rst~inputclkctrl_outclk ),
  140. .aload(gnd),
  141. .sclr(\first~input_o ),
  142. .sload(gnd),
  143. .ena(\label_sm|windows~combout ),
  144. .devclrn(devclrn),
  145. .devpor(devpor),
  146. .q(\label_sm|label_cl|count [3]),
  147. .prn(vcc));
  148. // synopsys translate_off
  149. defparam \label_sm|label_cl|count[3] .is_wysiwyg = "true";
  150. defparam \label_sm|label_cl|count[3] .power_up = "low";
  151. // synopsys translate_on
  152. // Location: FF_X2_Y6_N13
  153. dffeas \label_sm|label_cl|count[6] (
  154. .clk(\clk~inputclkctrl_outclk ),
  155. .d(\label_sm|label_cl|count[6]~20_combout ),
  156. .asdata(vcc),
  157. .clrn(!\rst~inputclkctrl_outclk ),
  158. .aload(gnd),
  159. .sclr(\first~input_o ),
  160. .sload(gnd),
  161. .ena(\label_sm|windows~combout ),
  162. .devclrn(devclrn),
  163. .devpor(devpor),
  164. .q(\label_sm|label_cl|count [6]),
  165. .prn(vcc));
  166. // synopsys translate_off
  167. defparam \label_sm|label_cl|count[6] .is_wysiwyg = "true";
  168. defparam \label_sm|label_cl|count[6] .power_up = "low";
  169. // synopsys translate_on
  170. // Location: LCCOMB_X2_Y6_N6
  171. cycloneiii_lcell_comb \label_sm|label_cl|count[3]~14 (
  172. // Equation(s):
  173. // \label_sm|label_cl|count[3]~14_combout = (\label_sm|label_cl|count [3] & (!\label_sm|label_cl|count[2]~13 )) # (!\label_sm|label_cl|count [3] & ((\label_sm|label_cl|count[2]~13 ) # (GND)))
  174. // \label_sm|label_cl|count[3]~15 = CARRY((!\label_sm|label_cl|count[2]~13 ) # (!\label_sm|label_cl|count [3]))
  175. .dataa(\label_sm|label_cl|count [3]),
  176. .datab(gnd),
  177. .datac(gnd),
  178. .datad(vcc),
  179. .cin(\label_sm|label_cl|count[2]~13 ),
  180. .combout(\label_sm|label_cl|count[3]~14_combout ),
  181. .cout(\label_sm|label_cl|count[3]~15 ));
  182. // synopsys translate_off
  183. defparam \label_sm|label_cl|count[3]~14 .lut_mask = 16'h5A5F;
  184. defparam \label_sm|label_cl|count[3]~14 .sum_lutc_input = "cin";
  185. // synopsys translate_on
  186. // Location: LCCOMB_X2_Y6_N12
  187. cycloneiii_lcell_comb \label_sm|label_cl|count[6]~20 (
  188. // Equation(s):
  189. // \label_sm|label_cl|count[6]~20_combout = (\label_sm|label_cl|count [6] & (\label_sm|label_cl|count[5]~19 $ (GND))) # (!\label_sm|label_cl|count [6] & (!\label_sm|label_cl|count[5]~19 & VCC))
  190. // \label_sm|label_cl|count[6]~21 = CARRY((\label_sm|label_cl|count [6] & !\label_sm|label_cl|count[5]~19 ))
  191. .dataa(\label_sm|label_cl|count [6]),
  192. .datab(gnd),
  193. .datac(gnd),
  194. .datad(vcc),
  195. .cin(\label_sm|label_cl|count[5]~19 ),
  196. .combout(\label_sm|label_cl|count[6]~20_combout ),
  197. .cout(\label_sm|label_cl|count[6]~21 ));
  198. // synopsys translate_off
  199. defparam \label_sm|label_cl|count[6]~20 .lut_mask = 16'hA50A;
  200. defparam \label_sm|label_cl|count[6]~20 .sum_lutc_input = "cin";
  201. // synopsys translate_on
  202. // Location: IOIBUF_X0_Y9_N1
  203. cycloneiii_io_ibuf \clk_ena~input (
  204. .i(clk_ena),
  205. .ibar(gnd),
  206. .o(\clk_ena~input_o ));
  207. // synopsys translate_off
  208. defparam \clk_ena~input .bus_hold = "false";
  209. defparam \clk_ena~input .simulate_z_as = "z";
  210. // synopsys translate_on
  211. // Location: IOOBUF_X0_Y7_N16
  212. cycloneiii_io_obuf \windows~output (
  213. .i(\label_sm|windows~combout ),
  214. .oe(vcc),
  215. .seriesterminationcontrol(16'b0000000000000000),
  216. .devoe(devoe),
  217. .o(windows),
  218. .obar());
  219. // synopsys translate_off
  220. defparam \windows~output .bus_hold = "false";
  221. defparam \windows~output .open_drain_output = "false";
  222. // synopsys translate_on
  223. // Location: IOOBUF_X0_Y8_N9
  224. cycloneiii_io_obuf \last~output (
  225. .i(\label_aff_out_ena|q [0]),
  226. .oe(vcc),
  227. .seriesterminationcontrol(16'b0000000000000000),
  228. .devoe(devoe),
  229. .o(last),
  230. .obar());
  231. // synopsys translate_off
  232. defparam \last~output .bus_hold = "false";
  233. defparam \last~output .open_drain_output = "false";
  234. // synopsys translate_on
  235. // Location: IOOBUF_X0_Y6_N23
  236. cycloneiii_io_obuf \pin_latch[0]~output (
  237. .i(\label_aff_in|q [0]),
  238. .oe(vcc),
  239. .seriesterminationcontrol(16'b0000000000000000),
  240. .devoe(devoe),
  241. .o(pin_latch[0]),
  242. .obar());
  243. // synopsys translate_off
  244. defparam \pin_latch[0]~output .bus_hold = "false";
  245. defparam \pin_latch[0]~output .open_drain_output = "false";
  246. // synopsys translate_on
  247. // Location: IOOBUF_X0_Y10_N16
  248. cycloneiii_io_obuf \pin_latch[1]~output (
  249. .i(\label_aff_in|q [1]),
  250. .oe(vcc),
  251. .seriesterminationcontrol(16'b0000000000000000),
  252. .devoe(devoe),
  253. .o(pin_latch[1]),
  254. .obar());
  255. // synopsys translate_off
  256. defparam \pin_latch[1]~output .bus_hold = "false";
  257. defparam \pin_latch[1]~output .open_drain_output = "false";
  258. // synopsys translate_on
  259. // Location: IOOBUF_X0_Y6_N16
  260. cycloneiii_io_obuf \pin_latch[2]~output (
  261. .i(\label_aff_in|q [2]),
  262. .oe(vcc),
  263. .seriesterminationcontrol(16'b0000000000000000),
  264. .devoe(devoe),
  265. .o(pin_latch[2]),
  266. .obar());
  267. // synopsys translate_off
  268. defparam \pin_latch[2]~output .bus_hold = "false";
  269. defparam \pin_latch[2]~output .open_drain_output = "false";
  270. // synopsys translate_on
  271. // Location: IOOBUF_X3_Y24_N16
  272. cycloneiii_io_obuf \pin_latch[3]~output (
  273. .i(\label_aff_in|q [3]),
  274. .oe(vcc),
  275. .seriesterminationcontrol(16'b0000000000000000),
  276. .devoe(devoe),
  277. .o(pin_latch[3]),
  278. .obar());
  279. // synopsys translate_off
  280. defparam \pin_latch[3]~output .bus_hold = "false";
  281. defparam \pin_latch[3]~output .open_drain_output = "false";
  282. // synopsys translate_on
  283. // Location: IOOBUF_X0_Y8_N16
  284. cycloneiii_io_obuf \pin_latch[4]~output (
  285. .i(\label_aff_in|q [4]),
  286. .oe(vcc),
  287. .seriesterminationcontrol(16'b0000000000000000),
  288. .devoe(devoe),
  289. .o(pin_latch[4]),
  290. .obar());
  291. // synopsys translate_off
  292. defparam \pin_latch[4]~output .bus_hold = "false";
  293. defparam \pin_latch[4]~output .open_drain_output = "false";
  294. // synopsys translate_on
  295. // Location: IOOBUF_X0_Y10_N2
  296. cycloneiii_io_obuf \pin_latch[5]~output (
  297. .i(\label_aff_in|q [5]),
  298. .oe(vcc),
  299. .seriesterminationcontrol(16'b0000000000000000),
  300. .devoe(devoe),
  301. .o(pin_latch[5]),
  302. .obar());
  303. // synopsys translate_off
  304. defparam \pin_latch[5]~output .bus_hold = "false";
  305. defparam \pin_latch[5]~output .open_drain_output = "false";
  306. // synopsys translate_on
  307. // Location: IOOBUF_X0_Y19_N23
  308. cycloneiii_io_obuf \pin_latch[6]~output (
  309. .i(\label_aff_in|q [6]),
  310. .oe(vcc),
  311. .seriesterminationcontrol(16'b0000000000000000),
  312. .devoe(devoe),
  313. .o(pin_latch[6]),
  314. .obar());
  315. // synopsys translate_off
  316. defparam \pin_latch[6]~output .bus_hold = "false";
  317. defparam \pin_latch[6]~output .open_drain_output = "false";
  318. // synopsys translate_on
  319. // Location: IOOBUF_X0_Y5_N23
  320. cycloneiii_io_obuf \pin_latch[7]~output (
  321. .i(\label_aff_in|q [7]),
  322. .oe(vcc),
  323. .seriesterminationcontrol(16'b0000000000000000),
  324. .devoe(devoe),
  325. .o(pin_latch[7]),
  326. .obar());
  327. // synopsys translate_off
  328. defparam \pin_latch[7]~output .bus_hold = "false";
  329. defparam \pin_latch[7]~output .open_drain_output = "false";
  330. // synopsys translate_on
  331. // Location: IOOBUF_X0_Y8_N2
  332. cycloneiii_io_obuf \pout[0]~output (
  333. .i(\label_aff_out|q [0]),
  334. .oe(vcc),
  335. .seriesterminationcontrol(16'b0000000000000000),
  336. .devoe(devoe),
  337. .o(pout[0]),
  338. .obar());
  339. // synopsys translate_off
  340. defparam \pout[0]~output .bus_hold = "false";
  341. defparam \pout[0]~output .open_drain_output = "false";
  342. // synopsys translate_on
  343. // Location: IOOBUF_X5_Y0_N16
  344. cycloneiii_io_obuf \pout[1]~output (
  345. .i(\label_aff_out|q [1]),
  346. .oe(vcc),
  347. .seriesterminationcontrol(16'b0000000000000000),
  348. .devoe(devoe),
  349. .o(pout[1]),
  350. .obar());
  351. // synopsys translate_off
  352. defparam \pout[1]~output .bus_hold = "false";
  353. defparam \pout[1]~output .open_drain_output = "false";
  354. // synopsys translate_on
  355. // Location: IOOBUF_X0_Y21_N9
  356. cycloneiii_io_obuf \pout[2]~output (
  357. .i(gnd),
  358. .oe(vcc),
  359. .seriesterminationcontrol(16'b0000000000000000),
  360. .devoe(devoe),
  361. .o(pout[2]),
  362. .obar());
  363. // synopsys translate_off
  364. defparam \pout[2]~output .bus_hold = "false";
  365. defparam \pout[2]~output .open_drain_output = "false";
  366. // synopsys translate_on
  367. // Location: IOOBUF_X0_Y7_N2
  368. cycloneiii_io_obuf \pout[3]~output (
  369. .i(\label_aff_out|q [3]),
  370. .oe(vcc),
  371. .seriesterminationcontrol(16'b0000000000000000),
  372. .devoe(devoe),
  373. .o(pout[3]),
  374. .obar());
  375. // synopsys translate_off
  376. defparam \pout[3]~output .bus_hold = "false";
  377. defparam \pout[3]~output .open_drain_output = "false";
  378. // synopsys translate_on
  379. // Location: IOOBUF_X25_Y24_N2
  380. cycloneiii_io_obuf \pout[4]~output (
  381. .i(gnd),
  382. .oe(vcc),
  383. .seriesterminationcontrol(16'b0000000000000000),
  384. .devoe(devoe),
  385. .o(pout[4]),
  386. .obar());
  387. // synopsys translate_off
  388. defparam \pout[4]~output .bus_hold = "false";
  389. defparam \pout[4]~output .open_drain_output = "false";
  390. // synopsys translate_on
  391. // Location: IOOBUF_X21_Y0_N16
  392. cycloneiii_io_obuf \pout[5]~output (
  393. .i(gnd),
  394. .oe(vcc),
  395. .seriesterminationcontrol(16'b0000000000000000),
  396. .devoe(devoe),
  397. .o(pout[5]),
  398. .obar());
  399. // synopsys translate_off
  400. defparam \pout[5]~output .bus_hold = "false";
  401. defparam \pout[5]~output .open_drain_output = "false";
  402. // synopsys translate_on
  403. // Location: IOOBUF_X34_Y16_N2
  404. cycloneiii_io_obuf \pout[6]~output (
  405. .i(gnd),
  406. .oe(vcc),
  407. .seriesterminationcontrol(16'b0000000000000000),
  408. .devoe(devoe),
  409. .o(pout[6]),
  410. .obar());
  411. // synopsys translate_off
  412. defparam \pout[6]~output .bus_hold = "false";
  413. defparam \pout[6]~output .open_drain_output = "false";
  414. // synopsys translate_on
  415. // Location: IOOBUF_X18_Y0_N23
  416. cycloneiii_io_obuf \pout[7]~output (
  417. .i(gnd),
  418. .oe(vcc),
  419. .seriesterminationcontrol(16'b0000000000000000),
  420. .devoe(devoe),
  421. .o(pout[7]),
  422. .obar());
  423. // synopsys translate_off
  424. defparam \pout[7]~output .bus_hold = "false";
  425. defparam \pout[7]~output .open_drain_output = "false";
  426. // synopsys translate_on
  427. // Location: IOIBUF_X1_Y0_N1
  428. cycloneiii_io_ibuf \first~input (
  429. .i(first),
  430. .ibar(gnd),
  431. .o(\first~input_o ));
  432. // synopsys translate_off
  433. defparam \first~input .bus_hold = "false";
  434. defparam \first~input .simulate_z_as = "z";
  435. // synopsys translate_on
  436. // Location: LCCOMB_X2_Y6_N0
  437. cycloneiii_lcell_comb \label_sm|label_cl|count[0]~8 (
  438. // Equation(s):
  439. // \label_sm|label_cl|count[0]~8_combout = \label_sm|label_cl|count [0] $ (VCC)
  440. // \label_sm|label_cl|count[0]~9 = CARRY(\label_sm|label_cl|count [0])
  441. .dataa(gnd),
  442. .datab(\label_sm|label_cl|count [0]),
  443. .datac(gnd),
  444. .datad(vcc),
  445. .cin(gnd),
  446. .combout(\label_sm|label_cl|count[0]~8_combout ),
  447. .cout(\label_sm|label_cl|count[0]~9 ));
  448. // synopsys translate_off
  449. defparam \label_sm|label_cl|count[0]~8 .lut_mask = 16'h33CC;
  450. defparam \label_sm|label_cl|count[0]~8 .sum_lutc_input = "datac";
  451. // synopsys translate_on
  452. // Location: IOIBUF_X0_Y11_N8
  453. cycloneiii_io_ibuf \rst~input (
  454. .i(rst),
  455. .ibar(gnd),
  456. .o(\rst~input_o ));
  457. // synopsys translate_off
  458. defparam \rst~input .bus_hold = "false";
  459. defparam \rst~input .simulate_z_as = "z";
  460. // synopsys translate_on
  461. // Location: CLKCTRL_G2
  462. cycloneiii_clkctrl \rst~inputclkctrl (
  463. .ena(vcc),
  464. .inclk({vcc,vcc,vcc,\rst~input_o }),
  465. .clkselect(2'b00),
  466. .devclrn(devclrn),
  467. .devpor(devpor),
  468. .outclk(\rst~inputclkctrl_outclk ));
  469. // synopsys translate_off
  470. defparam \rst~inputclkctrl .clock_type = "global clock";
  471. defparam \rst~inputclkctrl .ena_register_mode = "none";
  472. // synopsys translate_on
  473. // Location: FF_X2_Y6_N1
  474. dffeas \label_sm|label_cl|count[0] (
  475. .clk(\clk~inputclkctrl_outclk ),
  476. .d(\label_sm|label_cl|count[0]~8_combout ),
  477. .asdata(vcc),
  478. .clrn(!\rst~inputclkctrl_outclk ),
  479. .aload(gnd),
  480. .sclr(\first~input_o ),
  481. .sload(gnd),
  482. .ena(\label_sm|windows~combout ),
  483. .devclrn(devclrn),
  484. .devpor(devpor),
  485. .q(\label_sm|label_cl|count [0]),
  486. .prn(vcc));
  487. // synopsys translate_off
  488. defparam \label_sm|label_cl|count[0] .is_wysiwyg = "true";
  489. defparam \label_sm|label_cl|count[0] .power_up = "low";
  490. // synopsys translate_on
  491. // Location: LCCOMB_X2_Y6_N2
  492. cycloneiii_lcell_comb \label_sm|label_cl|count[1]~10 (
  493. // Equation(s):
  494. // \label_sm|label_cl|count[1]~10_combout = (\label_sm|label_cl|count [1] & (!\label_sm|label_cl|count[0]~9 )) # (!\label_sm|label_cl|count [1] & ((\label_sm|label_cl|count[0]~9 ) # (GND)))
  495. // \label_sm|label_cl|count[1]~11 = CARRY((!\label_sm|label_cl|count[0]~9 ) # (!\label_sm|label_cl|count [1]))
  496. .dataa(gnd),
  497. .datab(\label_sm|label_cl|count [1]),
  498. .datac(gnd),
  499. .datad(vcc),
  500. .cin(\label_sm|label_cl|count[0]~9 ),
  501. .combout(\label_sm|label_cl|count[1]~10_combout ),
  502. .cout(\label_sm|label_cl|count[1]~11 ));
  503. // synopsys translate_off
  504. defparam \label_sm|label_cl|count[1]~10 .lut_mask = 16'h3C3F;
  505. defparam \label_sm|label_cl|count[1]~10 .sum_lutc_input = "cin";
  506. // synopsys translate_on
  507. // Location: FF_X2_Y6_N3
  508. dffeas \label_sm|label_cl|count[1] (
  509. .clk(\clk~inputclkctrl_outclk ),
  510. .d(\label_sm|label_cl|count[1]~10_combout ),
  511. .asdata(vcc),
  512. .clrn(!\rst~inputclkctrl_outclk ),
  513. .aload(gnd),
  514. .sclr(\first~input_o ),
  515. .sload(gnd),
  516. .ena(\label_sm|windows~combout ),
  517. .devclrn(devclrn),
  518. .devpor(devpor),
  519. .q(\label_sm|label_cl|count [1]),
  520. .prn(vcc));
  521. // synopsys translate_off
  522. defparam \label_sm|label_cl|count[1] .is_wysiwyg = "true";
  523. defparam \label_sm|label_cl|count[1] .power_up = "low";
  524. // synopsys translate_on
  525. // Location: LCCOMB_X2_Y6_N4
  526. cycloneiii_lcell_comb \label_sm|label_cl|count[2]~12 (
  527. // Equation(s):
  528. // \label_sm|label_cl|count[2]~12_combout = (\label_sm|label_cl|count [2] & (\label_sm|label_cl|count[1]~11 $ (GND))) # (!\label_sm|label_cl|count [2] & (!\label_sm|label_cl|count[1]~11 & VCC))
  529. // \label_sm|label_cl|count[2]~13 = CARRY((\label_sm|label_cl|count [2] & !\label_sm|label_cl|count[1]~11 ))
  530. .dataa(gnd),
  531. .datab(\label_sm|label_cl|count [2]),
  532. .datac(gnd),
  533. .datad(vcc),
  534. .cin(\label_sm|label_cl|count[1]~11 ),
  535. .combout(\label_sm|label_cl|count[2]~12_combout ),
  536. .cout(\label_sm|label_cl|count[2]~13 ));
  537. // synopsys translate_off
  538. defparam \label_sm|label_cl|count[2]~12 .lut_mask = 16'hC30C;
  539. defparam \label_sm|label_cl|count[2]~12 .sum_lutc_input = "cin";
  540. // synopsys translate_on
  541. // Location: FF_X2_Y6_N5
  542. dffeas \label_sm|label_cl|count[2] (
  543. .clk(\clk~inputclkctrl_outclk ),
  544. .d(\label_sm|label_cl|count[2]~12_combout ),
  545. .asdata(vcc),
  546. .clrn(!\rst~inputclkctrl_outclk ),
  547. .aload(gnd),
  548. .sclr(\first~input_o ),
  549. .sload(gnd),
  550. .ena(\label_sm|windows~combout ),
  551. .devclrn(devclrn),
  552. .devpor(devpor),
  553. .q(\label_sm|label_cl|count [2]),
  554. .prn(vcc));
  555. // synopsys translate_off
  556. defparam \label_sm|label_cl|count[2] .is_wysiwyg = "true";
  557. defparam \label_sm|label_cl|count[2] .power_up = "low";
  558. // synopsys translate_on
  559. // Location: LCCOMB_X2_Y6_N8
  560. cycloneiii_lcell_comb \label_sm|label_cl|count[4]~16 (
  561. // Equation(s):
  562. // \label_sm|label_cl|count[4]~16_combout = (\label_sm|label_cl|count [4] & (\label_sm|label_cl|count[3]~15 $ (GND))) # (!\label_sm|label_cl|count [4] & (!\label_sm|label_cl|count[3]~15 & VCC))
  563. // \label_sm|label_cl|count[4]~17 = CARRY((\label_sm|label_cl|count [4] & !\label_sm|label_cl|count[3]~15 ))
  564. .dataa(gnd),
  565. .datab(\label_sm|label_cl|count [4]),
  566. .datac(gnd),
  567. .datad(vcc),
  568. .cin(\label_sm|label_cl|count[3]~15 ),
  569. .combout(\label_sm|label_cl|count[4]~16_combout ),
  570. .cout(\label_sm|label_cl|count[4]~17 ));
  571. // synopsys translate_off
  572. defparam \label_sm|label_cl|count[4]~16 .lut_mask = 16'hC30C;
  573. defparam \label_sm|label_cl|count[4]~16 .sum_lutc_input = "cin";
  574. // synopsys translate_on
  575. // Location: FF_X2_Y6_N9
  576. dffeas \label_sm|label_cl|count[4] (
  577. .clk(\clk~inputclkctrl_outclk ),
  578. .d(\label_sm|label_cl|count[4]~16_combout ),
  579. .asdata(vcc),
  580. .clrn(!\rst~inputclkctrl_outclk ),
  581. .aload(gnd),
  582. .sclr(\first~input_o ),
  583. .sload(gnd),
  584. .ena(\label_sm|windows~combout ),
  585. .devclrn(devclrn),
  586. .devpor(devpor),
  587. .q(\label_sm|label_cl|count [4]),
  588. .prn(vcc));
  589. // synopsys translate_off
  590. defparam \label_sm|label_cl|count[4] .is_wysiwyg = "true";
  591. defparam \label_sm|label_cl|count[4] .power_up = "low";
  592. // synopsys translate_on
  593. // Location: LCCOMB_X2_Y6_N10
  594. cycloneiii_lcell_comb \label_sm|label_cl|count[5]~18 (
  595. // Equation(s):
  596. // \label_sm|label_cl|count[5]~18_combout = (\label_sm|label_cl|count [5] & (!\label_sm|label_cl|count[4]~17 )) # (!\label_sm|label_cl|count [5] & ((\label_sm|label_cl|count[4]~17 ) # (GND)))
  597. // \label_sm|label_cl|count[5]~19 = CARRY((!\label_sm|label_cl|count[4]~17 ) # (!\label_sm|label_cl|count [5]))
  598. .dataa(\label_sm|label_cl|count [5]),
  599. .datab(gnd),
  600. .datac(gnd),
  601. .datad(vcc),
  602. .cin(\label_sm|label_cl|count[4]~17 ),
  603. .combout(\label_sm|label_cl|count[5]~18_combout ),
  604. .cout(\label_sm|label_cl|count[5]~19 ));
  605. // synopsys translate_off
  606. defparam \label_sm|label_cl|count[5]~18 .lut_mask = 16'h5A5F;
  607. defparam \label_sm|label_cl|count[5]~18 .sum_lutc_input = "cin";
  608. // synopsys translate_on
  609. // Location: FF_X2_Y6_N11
  610. dffeas \label_sm|label_cl|count[5] (
  611. .clk(\clk~inputclkctrl_outclk ),
  612. .d(\label_sm|label_cl|count[5]~18_combout ),
  613. .asdata(vcc),
  614. .clrn(!\rst~inputclkctrl_outclk ),
  615. .aload(gnd),
  616. .sclr(\first~input_o ),
  617. .sload(gnd),
  618. .ena(\label_sm|windows~combout ),
  619. .devclrn(devclrn),
  620. .devpor(devpor),
  621. .q(\label_sm|label_cl|count [5]),
  622. .prn(vcc));
  623. // synopsys translate_off
  624. defparam \label_sm|label_cl|count[5] .is_wysiwyg = "true";
  625. defparam \label_sm|label_cl|count[5] .power_up = "low";
  626. // synopsys translate_on
  627. // Location: LCCOMB_X2_Y6_N14
  628. cycloneiii_lcell_comb \label_sm|label_cl|count[7]~22 (
  629. // Equation(s):
  630. // \label_sm|label_cl|count[7]~22_combout = \label_sm|label_cl|count[6]~21 $ (\label_sm|label_cl|count [7])
  631. .dataa(gnd),
  632. .datab(gnd),
  633. .datac(gnd),
  634. .datad(\label_sm|label_cl|count [7]),
  635. .cin(\label_sm|label_cl|count[6]~21 ),
  636. .combout(\label_sm|label_cl|count[7]~22_combout ),
  637. .cout());
  638. // synopsys translate_off
  639. defparam \label_sm|label_cl|count[7]~22 .lut_mask = 16'h0FF0;
  640. defparam \label_sm|label_cl|count[7]~22 .sum_lutc_input = "cin";
  641. // synopsys translate_on
  642. // Location: FF_X2_Y6_N15
  643. dffeas \label_sm|label_cl|count[7] (
  644. .clk(\clk~inputclkctrl_outclk ),
  645. .d(\label_sm|label_cl|count[7]~22_combout ),
  646. .asdata(vcc),
  647. .clrn(!\rst~inputclkctrl_outclk ),
  648. .aload(gnd),
  649. .sclr(\first~input_o ),
  650. .sload(gnd),
  651. .ena(\label_sm|windows~combout ),
  652. .devclrn(devclrn),
  653. .devpor(devpor),
  654. .q(\label_sm|label_cl|count [7]),
  655. .prn(vcc));
  656. // synopsys translate_off
  657. defparam \label_sm|label_cl|count[7] .is_wysiwyg = "true";
  658. defparam \label_sm|label_cl|count[7] .power_up = "low";
  659. // synopsys translate_on
  660. // Location: LCCOMB_X2_Y6_N26
  661. cycloneiii_lcell_comb \label_sm|label_cl|Equal0~1 (
  662. // Equation(s):
  663. // \label_sm|label_cl|Equal0~1_combout = (!\label_sm|label_cl|count [6] & (!\label_sm|label_cl|count [5] & (!\label_sm|label_cl|count [4] & !\label_sm|label_cl|count [7])))
  664. .dataa(\label_sm|label_cl|count [6]),
  665. .datab(\label_sm|label_cl|count [5]),
  666. .datac(\label_sm|label_cl|count [4]),
  667. .datad(\label_sm|label_cl|count [7]),
  668. .cin(gnd),
  669. .combout(\label_sm|label_cl|Equal0~1_combout ),
  670. .cout());
  671. // synopsys translate_off
  672. defparam \label_sm|label_cl|Equal0~1 .lut_mask = 16'h0001;
  673. defparam \label_sm|label_cl|Equal0~1 .sum_lutc_input = "datac";
  674. // synopsys translate_on
  675. // Location: LCCOMB_X2_Y6_N24
  676. cycloneiii_lcell_comb \label_sm|label_cl|Equal0~0 (
  677. // Equation(s):
  678. // \label_sm|label_cl|Equal0~0_combout = (\label_sm|label_cl|count [3] & (\label_sm|label_cl|count [0] & (\label_sm|label_cl|count [2] & !\label_sm|label_cl|count [1])))
  679. .dataa(\label_sm|label_cl|count [3]),
  680. .datab(\label_sm|label_cl|count [0]),
  681. .datac(\label_sm|label_cl|count [2]),
  682. .datad(\label_sm|label_cl|count [1]),
  683. .cin(gnd),
  684. .combout(\label_sm|label_cl|Equal0~0_combout ),
  685. .cout());
  686. // synopsys translate_off
  687. defparam \label_sm|label_cl|Equal0~0 .lut_mask = 16'h0080;
  688. defparam \label_sm|label_cl|Equal0~0 .sum_lutc_input = "datac";
  689. // synopsys translate_on
  690. // Location: LCCOMB_X1_Y6_N24
  691. cycloneiii_lcell_comb \label_sm|label_aff|q[0]~0 (
  692. // Equation(s):
  693. // \label_sm|label_aff|q[0]~0_combout = (\first~input_o ) # ((\label_sm|label_aff|q [0] & ((!\label_sm|label_cl|Equal0~0_combout ) # (!\label_sm|label_cl|Equal0~1_combout ))))
  694. .dataa(\first~input_o ),
  695. .datab(\label_sm|label_cl|Equal0~1_combout ),
  696. .datac(\label_sm|label_aff|q [0]),
  697. .datad(\label_sm|label_cl|Equal0~0_combout ),
  698. .cin(gnd),
  699. .combout(\label_sm|label_aff|q[0]~0_combout ),
  700. .cout());
  701. // synopsys translate_off
  702. defparam \label_sm|label_aff|q[0]~0 .lut_mask = 16'hBAFA;
  703. defparam \label_sm|label_aff|q[0]~0 .sum_lutc_input = "datac";
  704. // synopsys translate_on
  705. // Location: FF_X1_Y6_N25
  706. dffeas \label_sm|label_aff|q[0] (
  707. .clk(\clk~inputclkctrl_outclk ),
  708. .d(\label_sm|label_aff|q[0]~0_combout ),
  709. .asdata(vcc),
  710. .clrn(!\rst~inputclkctrl_outclk ),
  711. .aload(gnd),
  712. .sclr(gnd),
  713. .sload(gnd),
  714. .ena(vcc),
  715. .devclrn(devclrn),
  716. .devpor(devpor),
  717. .q(\label_sm|label_aff|q [0]),
  718. .prn(vcc));
  719. // synopsys translate_off
  720. defparam \label_sm|label_aff|q[0] .is_wysiwyg = "true";
  721. defparam \label_sm|label_aff|q[0] .power_up = "low";
  722. // synopsys translate_on
  723. // Location: LCCOMB_X2_Y6_N16
  724. cycloneiii_lcell_comb \label_sm|windows (
  725. // Equation(s):
  726. // \label_sm|windows~combout = (\first~input_o ) # (\label_sm|label_aff|q [0])
  727. .dataa(gnd),
  728. .datab(\first~input_o ),
  729. .datac(gnd),
  730. .datad(\label_sm|label_aff|q [0]),
  731. .cin(gnd),
  732. .combout(\label_sm|windows~combout ),
  733. .cout());
  734. // synopsys translate_off
  735. defparam \label_sm|windows .lut_mask = 16'hFFCC;
  736. defparam \label_sm|windows .sum_lutc_input = "datac";
  737. // synopsys translate_on
  738. // Location: IOIBUF_X0_Y11_N1
  739. cycloneiii_io_ibuf \clk~input (
  740. .i(clk),
  741. .ibar(gnd),
  742. .o(\clk~input_o ));
  743. // synopsys translate_off
  744. defparam \clk~input .bus_hold = "false";
  745. defparam \clk~input .simulate_z_as = "z";
  746. // synopsys translate_on
  747. // Location: CLKCTRL_G4
  748. cycloneiii_clkctrl \clk~inputclkctrl (
  749. .ena(vcc),
  750. .inclk({vcc,vcc,vcc,\clk~input_o }),
  751. .clkselect(2'b00),
  752. .devclrn(devclrn),
  753. .devpor(devpor),
  754. .outclk(\clk~inputclkctrl_outclk ));
  755. // synopsys translate_off
  756. defparam \clk~inputclkctrl .clock_type = "global clock";
  757. defparam \clk~inputclkctrl .ena_register_mode = "none";
  758. // synopsys translate_on
  759. // Location: LCCOMB_X1_Y6_N10
  760. cycloneiii_lcell_comb \label_aff_out_ena|q[0]~0 (
  761. // Equation(s):
  762. // \label_aff_out_ena|q[0]~0_combout = (\clk_ena~input_o & (\label_sm|label_cl|Equal0~1_combout & ((\label_sm|label_cl|Equal0~0_combout )))) # (!\clk_ena~input_o & (((\label_aff_out_ena|q [0]))))
  763. .dataa(\clk_ena~input_o ),
  764. .datab(\label_sm|label_cl|Equal0~1_combout ),
  765. .datac(\label_aff_out_ena|q [0]),
  766. .datad(\label_sm|label_cl|Equal0~0_combout ),
  767. .cin(gnd),
  768. .combout(\label_aff_out_ena|q[0]~0_combout ),
  769. .cout());
  770. // synopsys translate_off
  771. defparam \label_aff_out_ena|q[0]~0 .lut_mask = 16'hD850;
  772. defparam \label_aff_out_ena|q[0]~0 .sum_lutc_input = "datac";
  773. // synopsys translate_on
  774. // Location: FF_X1_Y6_N11
  775. dffeas \label_aff_out_ena|q[0] (
  776. .clk(\clk~inputclkctrl_outclk ),
  777. .d(\label_aff_out_ena|q[0]~0_combout ),
  778. .asdata(vcc),
  779. .clrn(!\rst~inputclkctrl_outclk ),
  780. .aload(gnd),
  781. .sclr(gnd),
  782. .sload(gnd),
  783. .ena(vcc),
  784. .devclrn(devclrn),
  785. .devpor(devpor),
  786. .q(\label_aff_out_ena|q [0]),
  787. .prn(vcc));
  788. // synopsys translate_off
  789. defparam \label_aff_out_ena|q[0] .is_wysiwyg = "true";
  790. defparam \label_aff_out_ena|q[0] .power_up = "low";
  791. // synopsys translate_on
  792. // Location: IOIBUF_X0_Y9_N8
  793. cycloneiii_io_ibuf \pin[0]~input (
  794. .i(pin[0]),
  795. .ibar(gnd),
  796. .o(\pin[0]~input_o ));
  797. // synopsys translate_off
  798. defparam \pin[0]~input .bus_hold = "false";
  799. defparam \pin[0]~input .simulate_z_as = "z";
  800. // synopsys translate_on
  801. // Location: LCCOMB_X1_Y6_N4
  802. cycloneiii_lcell_comb \label_aff_in|q[0]~feeder (
  803. // Equation(s):
  804. // \label_aff_in|q[0]~feeder_combout = \pin[0]~input_o
  805. .dataa(gnd),
  806. .datab(gnd),
  807. .datac(gnd),
  808. .datad(\pin[0]~input_o ),
  809. .cin(gnd),
  810. .combout(\label_aff_in|q[0]~feeder_combout ),
  811. .cout());
  812. // synopsys translate_off
  813. defparam \label_aff_in|q[0]~feeder .lut_mask = 16'hFF00;
  814. defparam \label_aff_in|q[0]~feeder .sum_lutc_input = "datac";
  815. // synopsys translate_on
  816. // Location: FF_X1_Y6_N5
  817. dffeas \label_aff_in|q[0] (
  818. .clk(\clk~inputclkctrl_outclk ),
  819. .d(\label_aff_in|q[0]~feeder_combout ),
  820. .asdata(vcc),
  821. .clrn(!\rst~inputclkctrl_outclk ),
  822. .aload(gnd),
  823. .sclr(gnd),
  824. .sload(gnd),
  825. .ena(\first~input_o ),
  826. .devclrn(devclrn),
  827. .devpor(devpor),
  828. .q(\label_aff_in|q [0]),
  829. .prn(vcc));
  830. // synopsys translate_off
  831. defparam \label_aff_in|q[0] .is_wysiwyg = "true";
  832. defparam \label_aff_in|q[0] .power_up = "low";
  833. // synopsys translate_on
  834. // Location: IOIBUF_X34_Y3_N22
  835. cycloneiii_io_ibuf \pin[1]~input (
  836. .i(pin[1]),
  837. .ibar(gnd),
  838. .o(\pin[1]~input_o ));
  839. // synopsys translate_off
  840. defparam \pin[1]~input .bus_hold = "false";
  841. defparam \pin[1]~input .simulate_z_as = "z";
  842. // synopsys translate_on
  843. // Location: FF_X1_Y6_N15
  844. dffeas \label_aff_in|q[1] (
  845. .clk(\clk~inputclkctrl_outclk ),
  846. .d(gnd),
  847. .asdata(\pin[1]~input_o ),
  848. .clrn(!\rst~inputclkctrl_outclk ),
  849. .aload(gnd),
  850. .sclr(gnd),
  851. .sload(vcc),
  852. .ena(\first~input_o ),
  853. .devclrn(devclrn),
  854. .devpor(devpor),
  855. .q(\label_aff_in|q [1]),
  856. .prn(vcc));
  857. // synopsys translate_off
  858. defparam \label_aff_in|q[1] .is_wysiwyg = "true";
  859. defparam \label_aff_in|q[1] .power_up = "low";
  860. // synopsys translate_on
  861. // Location: IOIBUF_X0_Y8_N22
  862. cycloneiii_io_ibuf \pin[2]~input (
  863. .i(pin[2]),
  864. .ibar(gnd),
  865. .o(\pin[2]~input_o ));
  866. // synopsys translate_off
  867. defparam \pin[2]~input .bus_hold = "false";
  868. defparam \pin[2]~input .simulate_z_as = "z";
  869. // synopsys translate_on
  870. // Location: FF_X1_Y6_N17
  871. dffeas \label_aff_in|q[2] (
  872. .clk(\clk~inputclkctrl_outclk ),
  873. .d(gnd),
  874. .asdata(\pin[2]~input_o ),
  875. .clrn(!\rst~inputclkctrl_outclk ),
  876. .aload(gnd),
  877. .sclr(gnd),
  878. .sload(vcc),
  879. .ena(\first~input_o ),
  880. .devclrn(devclrn),
  881. .devpor(devpor),
  882. .q(\label_aff_in|q [2]),
  883. .prn(vcc));
  884. // synopsys translate_off
  885. defparam \label_aff_in|q[2] .is_wysiwyg = "true";
  886. defparam \label_aff_in|q[2] .power_up = "low";
  887. // synopsys translate_on
  888. // Location: IOIBUF_X18_Y0_N1
  889. cycloneiii_io_ibuf \pin[3]~input (
  890. .i(pin[3]),
  891. .ibar(gnd),
  892. .o(\pin[3]~input_o ));
  893. // synopsys translate_off
  894. defparam \pin[3]~input .bus_hold = "false";
  895. defparam \pin[3]~input .simulate_z_as = "z";
  896. // synopsys translate_on
  897. // Location: LCCOMB_X1_Y6_N18
  898. cycloneiii_lcell_comb \label_aff_in|q[3]~feeder (
  899. // Equation(s):
  900. // \label_aff_in|q[3]~feeder_combout = \pin[3]~input_o
  901. .dataa(gnd),
  902. .datab(gnd),
  903. .datac(gnd),
  904. .datad(\pin[3]~input_o ),
  905. .cin(gnd),
  906. .combout(\label_aff_in|q[3]~feeder_combout ),
  907. .cout());
  908. // synopsys translate_off
  909. defparam \label_aff_in|q[3]~feeder .lut_mask = 16'hFF00;
  910. defparam \label_aff_in|q[3]~feeder .sum_lutc_input = "datac";
  911. // synopsys translate_on
  912. // Location: FF_X1_Y6_N19
  913. dffeas \label_aff_in|q[3] (
  914. .clk(\clk~inputclkctrl_outclk ),
  915. .d(\label_aff_in|q[3]~feeder_combout ),
  916. .asdata(vcc),
  917. .clrn(!\rst~inputclkctrl_outclk ),
  918. .aload(gnd),
  919. .sclr(gnd),
  920. .sload(gnd),
  921. .ena(\first~input_o ),
  922. .devclrn(devclrn),
  923. .devpor(devpor),
  924. .q(\label_aff_in|q [3]),
  925. .prn(vcc));
  926. // synopsys translate_off
  927. defparam \label_aff_in|q[3] .is_wysiwyg = "true";
  928. defparam \label_aff_in|q[3] .power_up = "low";
  929. // synopsys translate_on
  930. // Location: IOIBUF_X0_Y4_N22
  931. cycloneiii_io_ibuf \pin[4]~input (
  932. .i(pin[4]),
  933. .ibar(gnd),
  934. .o(\pin[4]~input_o ));
  935. // synopsys translate_off
  936. defparam \pin[4]~input .bus_hold = "false";
  937. defparam \pin[4]~input .simulate_z_as = "z";
  938. // synopsys translate_on
  939. // Location: LCCOMB_X1_Y6_N12
  940. cycloneiii_lcell_comb \label_aff_in|q[4]~feeder (
  941. // Equation(s):
  942. // \label_aff_in|q[4]~feeder_combout = \pin[4]~input_o
  943. .dataa(gnd),
  944. .datab(gnd),
  945. .datac(gnd),
  946. .datad(\pin[4]~input_o ),
  947. .cin(gnd),
  948. .combout(\label_aff_in|q[4]~feeder_combout ),
  949. .cout());
  950. // synopsys translate_off
  951. defparam \label_aff_in|q[4]~feeder .lut_mask = 16'hFF00;
  952. defparam \label_aff_in|q[4]~feeder .sum_lutc_input = "datac";
  953. // synopsys translate_on
  954. // Location: FF_X1_Y6_N13
  955. dffeas \label_aff_in|q[4] (
  956. .clk(\clk~inputclkctrl_outclk ),
  957. .d(\label_aff_in|q[4]~feeder_combout ),
  958. .asdata(vcc),
  959. .clrn(!\rst~inputclkctrl_outclk ),
  960. .aload(gnd),
  961. .sclr(gnd),
  962. .sload(gnd),
  963. .ena(\first~input_o ),
  964. .devclrn(devclrn),
  965. .devpor(devpor),
  966. .q(\label_aff_in|q [4]),
  967. .prn(vcc));
  968. // synopsys translate_off
  969. defparam \label_aff_in|q[4] .is_wysiwyg = "true";
  970. defparam \label_aff_in|q[4] .power_up = "low";
  971. // synopsys translate_on
  972. // Location: IOIBUF_X0_Y7_N22
  973. cycloneiii_io_ibuf \pin[5]~input (
  974. .i(pin[5]),
  975. .ibar(gnd),
  976. .o(\pin[5]~input_o ));
  977. // synopsys translate_off
  978. defparam \pin[5]~input .bus_hold = "false";
  979. defparam \pin[5]~input .simulate_z_as = "z";
  980. // synopsys translate_on
  981. // Location: FF_X1_Y6_N31
  982. dffeas \label_aff_in|q[5] (
  983. .clk(\clk~inputclkctrl_outclk ),
  984. .d(gnd),
  985. .asdata(\pin[5]~input_o ),
  986. .clrn(!\rst~inputclkctrl_outclk ),
  987. .aload(gnd),
  988. .sclr(gnd),
  989. .sload(vcc),
  990. .ena(\first~input_o ),
  991. .devclrn(devclrn),
  992. .devpor(devpor),
  993. .q(\label_aff_in|q [5]),
  994. .prn(vcc));
  995. // synopsys translate_off
  996. defparam \label_aff_in|q[5] .is_wysiwyg = "true";
  997. defparam \label_aff_in|q[5] .power_up = "low";
  998. // synopsys translate_on
  999. // Location: IOIBUF_X11_Y24_N8
  1000. cycloneiii_io_ibuf \pin[6]~input (
  1001. .i(pin[6]),
  1002. .ibar(gnd),
  1003. .o(\pin[6]~input_o ));
  1004. // synopsys translate_off
  1005. defparam \pin[6]~input .bus_hold = "false";
  1006. defparam \pin[6]~input .simulate_z_as = "z";
  1007. // synopsys translate_on
  1008. // Location: LCCOMB_X1_Y6_N8
  1009. cycloneiii_lcell_comb \label_aff_in|q[6]~feeder (
  1010. // Equation(s):
  1011. // \label_aff_in|q[6]~feeder_combout = \pin[6]~input_o
  1012. .dataa(gnd),
  1013. .datab(gnd),
  1014. .datac(gnd),
  1015. .datad(\pin[6]~input_o ),
  1016. .cin(gnd),
  1017. .combout(\label_aff_in|q[6]~feeder_combout ),
  1018. .cout());
  1019. // synopsys translate_off
  1020. defparam \label_aff_in|q[6]~feeder .lut_mask = 16'hFF00;
  1021. defparam \label_aff_in|q[6]~feeder .sum_lutc_input = "datac";
  1022. // synopsys translate_on
  1023. // Location: FF_X1_Y6_N9
  1024. dffeas \label_aff_in|q[6] (
  1025. .clk(\clk~inputclkctrl_outclk ),
  1026. .d(\label_aff_in|q[6]~feeder_combout ),
  1027. .asdata(vcc),
  1028. .clrn(!\rst~inputclkctrl_outclk ),
  1029. .aload(gnd),
  1030. .sclr(gnd),
  1031. .sload(gnd),
  1032. .ena(\first~input_o ),
  1033. .devclrn(devclrn),
  1034. .devpor(devpor),
  1035. .q(\label_aff_in|q [6]),
  1036. .prn(vcc));
  1037. // synopsys translate_off
  1038. defparam \label_aff_in|q[6] .is_wysiwyg = "true";
  1039. defparam \label_aff_in|q[6] .power_up = "low";
  1040. // synopsys translate_on
  1041. // Location: IOIBUF_X7_Y0_N22
  1042. cycloneiii_io_ibuf \pin[7]~input (
  1043. .i(pin[7]),
  1044. .ibar(gnd),
  1045. .o(\pin[7]~input_o ));
  1046. // synopsys translate_off
  1047. defparam \pin[7]~input .bus_hold = "false";
  1048. defparam \pin[7]~input .simulate_z_as = "z";
  1049. // synopsys translate_on
  1050. // Location: FF_X1_Y6_N3
  1051. dffeas \label_aff_in|q[7] (
  1052. .clk(\clk~inputclkctrl_outclk ),
  1053. .d(gnd),
  1054. .asdata(\pin[7]~input_o ),
  1055. .clrn(!\rst~inputclkctrl_outclk ),
  1056. .aload(gnd),
  1057. .sclr(gnd),
  1058. .sload(vcc),
  1059. .ena(\first~input_o ),
  1060. .devclrn(devclrn),
  1061. .devpor(devpor),
  1062. .q(\label_aff_in|q [7]),
  1063. .prn(vcc));
  1064. // synopsys translate_off
  1065. defparam \label_aff_in|q[7] .is_wysiwyg = "true";
  1066. defparam \label_aff_in|q[7] .power_up = "low";
  1067. // synopsys translate_on
  1068. // Location: LCCOMB_X2_Y6_N18
  1069. cycloneiii_lcell_comb \label_aff_out|q[0]~feeder (
  1070. // Equation(s):
  1071. // \label_aff_out|q[0]~feeder_combout = VCC
  1072. .dataa(gnd),
  1073. .datab(gnd),
  1074. .datac(gnd),
  1075. .datad(gnd),
  1076. .cin(gnd),
  1077. .combout(\label_aff_out|q[0]~feeder_combout ),
  1078. .cout());
  1079. // synopsys translate_off
  1080. defparam \label_aff_out|q[0]~feeder .lut_mask = 16'hFFFF;
  1081. defparam \label_aff_out|q[0]~feeder .sum_lutc_input = "datac";
  1082. // synopsys translate_on
  1083. // Location: LCCOMB_X2_Y6_N28
  1084. cycloneiii_lcell_comb \label_sm|label_cl|Equal0~2 (
  1085. // Equation(s):
  1086. // \label_sm|label_cl|Equal0~2_combout = (\label_sm|label_cl|Equal0~1_combout & \label_sm|label_cl|Equal0~0_combout )
  1087. .dataa(gnd),
  1088. .datab(gnd),
  1089. .datac(\label_sm|label_cl|Equal0~1_combout ),
  1090. .datad(\label_sm|label_cl|Equal0~0_combout ),
  1091. .cin(gnd),
  1092. .combout(\label_sm|label_cl|Equal0~2_combout ),
  1093. .cout());
  1094. // synopsys translate_off
  1095. defparam \label_sm|label_cl|Equal0~2 .lut_mask = 16'hF000;
  1096. defparam \label_sm|label_cl|Equal0~2 .sum_lutc_input = "datac";
  1097. // synopsys translate_on
  1098. // Location: FF_X2_Y6_N19
  1099. dffeas \label_aff_out|q[0] (
  1100. .clk(\clk~inputclkctrl_outclk ),
  1101. .d(\label_aff_out|q[0]~feeder_combout ),
  1102. .asdata(vcc),
  1103. .clrn(!\rst~inputclkctrl_outclk ),
  1104. .aload(gnd),
  1105. .sclr(gnd),
  1106. .sload(gnd),
  1107. .ena(\label_sm|label_cl|Equal0~2_combout ),
  1108. .devclrn(devclrn),
  1109. .devpor(devpor),
  1110. .q(\label_aff_out|q [0]),
  1111. .prn(vcc));
  1112. // synopsys translate_off
  1113. defparam \label_aff_out|q[0] .is_wysiwyg = "true";
  1114. defparam \label_aff_out|q[0] .power_up = "low";
  1115. // synopsys translate_on
  1116. // Location: LCCOMB_X2_Y6_N20
  1117. cycloneiii_lcell_comb \label_aff_out|q[1]~feeder (
  1118. // Equation(s):
  1119. // \label_aff_out|q[1]~feeder_combout = VCC
  1120. .dataa(gnd),
  1121. .datab(gnd),
  1122. .datac(gnd),
  1123. .datad(gnd),
  1124. .cin(gnd),
  1125. .combout(\label_aff_out|q[1]~feeder_combout ),
  1126. .cout());
  1127. // synopsys translate_off
  1128. defparam \label_aff_out|q[1]~feeder .lut_mask = 16'hFFFF;
  1129. defparam \label_aff_out|q[1]~feeder .sum_lutc_input = "datac";
  1130. // synopsys translate_on
  1131. // Location: FF_X2_Y6_N21
  1132. dffeas \label_aff_out|q[1] (
  1133. .clk(\clk~inputclkctrl_outclk ),
  1134. .d(\label_aff_out|q[1]~feeder_combout ),
  1135. .asdata(vcc),
  1136. .clrn(!\rst~inputclkctrl_outclk ),
  1137. .aload(gnd),
  1138. .sclr(gnd),
  1139. .sload(gnd),
  1140. .ena(\label_sm|label_cl|Equal0~2_combout ),
  1141. .devclrn(devclrn),
  1142. .devpor(devpor),
  1143. .q(\label_aff_out|q [1]),
  1144. .prn(vcc));
  1145. // synopsys translate_off
  1146. defparam \label_aff_out|q[1] .is_wysiwyg = "true";
  1147. defparam \label_aff_out|q[1] .power_up = "low";
  1148. // synopsys translate_on
  1149. // Location: LCCOMB_X2_Y6_N30
  1150. cycloneiii_lcell_comb \label_aff_out|q[3]~feeder (
  1151. // Equation(s):
  1152. // \label_aff_out|q[3]~feeder_combout = VCC
  1153. .dataa(gnd),
  1154. .datab(gnd),
  1155. .datac(gnd),
  1156. .datad(gnd),
  1157. .cin(gnd),
  1158. .combout(\label_aff_out|q[3]~feeder_combout ),
  1159. .cout());
  1160. // synopsys translate_off
  1161. defparam \label_aff_out|q[3]~feeder .lut_mask = 16'hFFFF;
  1162. defparam \label_aff_out|q[3]~feeder .sum_lutc_input = "datac";
  1163. // synopsys translate_on
  1164. // Location: FF_X2_Y6_N31
  1165. dffeas \label_aff_out|q[3] (
  1166. .clk(\clk~inputclkctrl_outclk ),
  1167. .d(\label_aff_out|q[3]~feeder_combout ),
  1168. .asdata(vcc),
  1169. .clrn(!\rst~inputclkctrl_outclk ),
  1170. .aload(gnd),
  1171. .sclr(gnd),
  1172. .sload(gnd),
  1173. .ena(\label_sm|label_cl|Equal0~2_combout ),
  1174. .devclrn(devclrn),
  1175. .devpor(devpor),
  1176. .q(\label_aff_out|q [3]),
  1177. .prn(vcc));
  1178. // synopsys translate_off
  1179. defparam \label_aff_out|q[3] .is_wysiwyg = "true";
  1180. defparam \label_aff_out|q[3] .power_up = "low";
  1181. // synopsys translate_on
  1182. endmodule