/dvbt/trunk/debug/subblocks/wrapper/simulation/modelsim/pwapper.vo
http://github.com/zaqwes8811/decoder-reed-solomon · Unknown · 1291 lines · 1182 code · 109 blank · 0 comment · 0 complexity · ef23e29aff9bf5ea80095b79e19131cd MD5 · raw file
- // Copyright (C) 1991-2010 Altera Corporation
- // Your use of Altera Corporation's design tools, logic functions
- // and other software and tools, and its AMPP partner logic
- // functions, and any output files from any of the foregoing
- // (including device programming or simulation files), and any
- // associated documentation or information are expressly subject
- // to the terms and conditions of the Altera Program License
- // Subscription Agreement, Altera MegaCore Function License
- // Agreement, or other applicable license agreement, including,
- // without limitation, that your use is for the sole purpose of
- // programming logic devices manufactured by Altera and sold by
- // Altera or its authorized distributors. Please refer to the
- // applicable agreement for further details.
- // VENDOR "Altera"
- // PROGRAM "Quartus II"
- // VERSION "Version 10.1 Build 153 11/29/2010 SJ Web Edition"
- // DATE "05/07/2011 14:41:35"
- //
- // Device: Altera EP3C5F256C6 Package FBGA256
- //
- //
- // This Verilog file should be used for ModelSim-Altera (Verilog) only
- //
- `timescale 1 ps/ 1 ps
- module stage_wrapper_rtl (
- clk,
- rst,
- clk_ena,
- first,
- windows,
- last,
- pin,
- pin_latch,
- pout);
- input clk;
- input rst;
- input clk_ena;
- input first;
- output windows;
- output last;
- input [7:0] pin;
- output [7:0] pin_latch;
- output [7:0] pout;
- // Design Ports Information
- // windows => Location: PIN_N2, I/O Standard: 2.5 V, Current Strength: Default
- // last => Location: PIN_K1, I/O Standard: 2.5 V, Current Strength: Default
- // pin_latch[0] => Location: PIN_L4, I/O Standard: 2.5 V, Current Strength: Default
- // pin_latch[1] => Location: PIN_J1, I/O Standard: 2.5 V, Current Strength: Default
- // pin_latch[2] => Location: PIN_K5, I/O Standard: 2.5 V, Current Strength: Default
- // pin_latch[3] => Location: PIN_A3, I/O Standard: 2.5 V, Current Strength: Default
- // pin_latch[4] => Location: PIN_L2, I/O Standard: 2.5 V, Current Strength: Default
- // pin_latch[5] => Location: PIN_J2, I/O Standard: 2.5 V, Current Strength: Default
- // pin_latch[6] => Location: PIN_F1, I/O Standard: 2.5 V, Current Strength: Default
- // pin_latch[7] => Location: PIN_R1, I/O Standard: 2.5 V, Current Strength: Default
- // pout[0] => Location: PIN_K2, I/O Standard: 2.5 V, Current Strength: Default
- // pout[1] => Location: PIN_T4, I/O Standard: 2.5 V, Current Strength: Default
- // pout[2] => Location: PIN_F3, I/O Standard: 2.5 V, Current Strength: Default
- // pout[3] => Location: PIN_L3, I/O Standard: 2.5 V, Current Strength: Default
- // pout[4] => Location: PIN_A12, I/O Standard: 2.5 V, Current Strength: Default
- // pout[5] => Location: PIN_N9, I/O Standard: 2.5 V, Current Strength: Default
- // pout[6] => Location: PIN_H15, I/O Standard: 2.5 V, Current Strength: Default
- // pout[7] => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default
- // first => Location: PIN_T3, I/O Standard: 2.5 V, Current Strength: Default
- // clk => Location: PIN_E2, I/O Standard: 2.5 V, Current Strength: Default
- // rst => Location: PIN_E1, I/O Standard: 2.5 V, Current Strength: Default
- // clk_ena => Location: PIN_K6, I/O Standard: 2.5 V, Current Strength: Default
- // pin[0] => Location: PIN_L6, I/O Standard: 2.5 V, Current Strength: Default
- // pin[1] => Location: PIN_L12, I/O Standard: 2.5 V, Current Strength: Default
- // pin[2] => Location: PIN_L1, I/O Standard: 2.5 V, Current Strength: Default
- // pin[3] => Location: PIN_L9, I/O Standard: 2.5 V, Current Strength: Default
- // pin[4] => Location: PIN_P1, I/O Standard: 2.5 V, Current Strength: Default
- // pin[5] => Location: PIN_N1, I/O Standard: 2.5 V, Current Strength: Default
- // pin[6] => Location: PIN_B7, I/O Standard: 2.5 V, Current Strength: Default
- // pin[7] => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default
- wire gnd;
- wire vcc;
- wire unknown;
- assign gnd = 1'b0;
- assign vcc = 1'b1;
- assign unknown = 1'bx;
- tri1 devclrn;
- tri1 devpor;
- tri1 devoe;
- // synopsys translate_off
- initial $sdf_annotate("pwapper_v.sdo");
- // synopsys translate_on
- wire \label_sm|label_cl|count[3]~14_combout ;
- wire \label_sm|label_cl|count[6]~20_combout ;
- wire \clk_ena~input_o ;
- wire \first~input_o ;
- wire \label_sm|label_cl|count[0]~8_combout ;
- wire \rst~input_o ;
- wire \rst~inputclkctrl_outclk ;
- wire \label_sm|label_cl|count[0]~9 ;
- wire \label_sm|label_cl|count[1]~10_combout ;
- wire \label_sm|label_cl|count[1]~11 ;
- wire \label_sm|label_cl|count[2]~12_combout ;
- wire \label_sm|label_cl|count[2]~13 ;
- wire \label_sm|label_cl|count[3]~15 ;
- wire \label_sm|label_cl|count[4]~16_combout ;
- wire \label_sm|label_cl|count[4]~17 ;
- wire \label_sm|label_cl|count[5]~18_combout ;
- wire \label_sm|label_cl|count[5]~19 ;
- wire \label_sm|label_cl|count[6]~21 ;
- wire \label_sm|label_cl|count[7]~22_combout ;
- wire \label_sm|label_cl|Equal0~1_combout ;
- wire \label_sm|label_cl|Equal0~0_combout ;
- wire \label_sm|label_aff|q[0]~0_combout ;
- wire \label_sm|windows~combout ;
- wire \clk~input_o ;
- wire \clk~inputclkctrl_outclk ;
- wire \label_aff_out_ena|q[0]~0_combout ;
- wire \pin[0]~input_o ;
- wire \label_aff_in|q[0]~feeder_combout ;
- wire \pin[1]~input_o ;
- wire \pin[2]~input_o ;
- wire \pin[3]~input_o ;
- wire \label_aff_in|q[3]~feeder_combout ;
- wire \pin[4]~input_o ;
- wire \label_aff_in|q[4]~feeder_combout ;
- wire \pin[5]~input_o ;
- wire \pin[6]~input_o ;
- wire \label_aff_in|q[6]~feeder_combout ;
- wire \pin[7]~input_o ;
- wire \label_aff_out|q[0]~feeder_combout ;
- wire \label_sm|label_cl|Equal0~2_combout ;
- wire \label_aff_out|q[1]~feeder_combout ;
- wire \label_aff_out|q[3]~feeder_combout ;
- wire [0:0] \label_aff_out_ena|q ;
- wire [7:0] \label_aff_in|q ;
- wire [8:0] \label_sm|label_aff|q ;
- wire [7:0] \label_sm|label_cl|count ;
- wire [7:0] \label_aff_out|q ;
- // Location: FF_X2_Y6_N7
- dffeas \label_sm|label_cl|count[3] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_sm|label_cl|count[3]~14_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(\first~input_o ),
- .sload(gnd),
- .ena(\label_sm|windows~combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_sm|label_cl|count [3]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[3] .is_wysiwyg = "true";
- defparam \label_sm|label_cl|count[3] .power_up = "low";
- // synopsys translate_on
- // Location: FF_X2_Y6_N13
- dffeas \label_sm|label_cl|count[6] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_sm|label_cl|count[6]~20_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(\first~input_o ),
- .sload(gnd),
- .ena(\label_sm|windows~combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_sm|label_cl|count [6]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[6] .is_wysiwyg = "true";
- defparam \label_sm|label_cl|count[6] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N6
- cycloneiii_lcell_comb \label_sm|label_cl|count[3]~14 (
- // Equation(s):
- // \label_sm|label_cl|count[3]~14_combout = (\label_sm|label_cl|count [3] & (!\label_sm|label_cl|count[2]~13 )) # (!\label_sm|label_cl|count [3] & ((\label_sm|label_cl|count[2]~13 ) # (GND)))
- // \label_sm|label_cl|count[3]~15 = CARRY((!\label_sm|label_cl|count[2]~13 ) # (!\label_sm|label_cl|count [3]))
- .dataa(\label_sm|label_cl|count [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\label_sm|label_cl|count[2]~13 ),
- .combout(\label_sm|label_cl|count[3]~14_combout ),
- .cout(\label_sm|label_cl|count[3]~15 ));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[3]~14 .lut_mask = 16'h5A5F;
- defparam \label_sm|label_cl|count[3]~14 .sum_lutc_input = "cin";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N12
- cycloneiii_lcell_comb \label_sm|label_cl|count[6]~20 (
- // Equation(s):
- // \label_sm|label_cl|count[6]~20_combout = (\label_sm|label_cl|count [6] & (\label_sm|label_cl|count[5]~19 $ (GND))) # (!\label_sm|label_cl|count [6] & (!\label_sm|label_cl|count[5]~19 & VCC))
- // \label_sm|label_cl|count[6]~21 = CARRY((\label_sm|label_cl|count [6] & !\label_sm|label_cl|count[5]~19 ))
- .dataa(\label_sm|label_cl|count [6]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\label_sm|label_cl|count[5]~19 ),
- .combout(\label_sm|label_cl|count[6]~20_combout ),
- .cout(\label_sm|label_cl|count[6]~21 ));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[6]~20 .lut_mask = 16'hA50A;
- defparam \label_sm|label_cl|count[6]~20 .sum_lutc_input = "cin";
- // synopsys translate_on
- // Location: IOIBUF_X0_Y9_N1
- cycloneiii_io_ibuf \clk_ena~input (
- .i(clk_ena),
- .ibar(gnd),
- .o(\clk_ena~input_o ));
- // synopsys translate_off
- defparam \clk_ena~input .bus_hold = "false";
- defparam \clk_ena~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y7_N16
- cycloneiii_io_obuf \windows~output (
- .i(\label_sm|windows~combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(windows),
- .obar());
- // synopsys translate_off
- defparam \windows~output .bus_hold = "false";
- defparam \windows~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y8_N9
- cycloneiii_io_obuf \last~output (
- .i(\label_aff_out_ena|q [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(last),
- .obar());
- // synopsys translate_off
- defparam \last~output .bus_hold = "false";
- defparam \last~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y6_N23
- cycloneiii_io_obuf \pin_latch[0]~output (
- .i(\label_aff_in|q [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pin_latch[0]),
- .obar());
- // synopsys translate_off
- defparam \pin_latch[0]~output .bus_hold = "false";
- defparam \pin_latch[0]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y10_N16
- cycloneiii_io_obuf \pin_latch[1]~output (
- .i(\label_aff_in|q [1]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pin_latch[1]),
- .obar());
- // synopsys translate_off
- defparam \pin_latch[1]~output .bus_hold = "false";
- defparam \pin_latch[1]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y6_N16
- cycloneiii_io_obuf \pin_latch[2]~output (
- .i(\label_aff_in|q [2]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pin_latch[2]),
- .obar());
- // synopsys translate_off
- defparam \pin_latch[2]~output .bus_hold = "false";
- defparam \pin_latch[2]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X3_Y24_N16
- cycloneiii_io_obuf \pin_latch[3]~output (
- .i(\label_aff_in|q [3]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pin_latch[3]),
- .obar());
- // synopsys translate_off
- defparam \pin_latch[3]~output .bus_hold = "false";
- defparam \pin_latch[3]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y8_N16
- cycloneiii_io_obuf \pin_latch[4]~output (
- .i(\label_aff_in|q [4]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pin_latch[4]),
- .obar());
- // synopsys translate_off
- defparam \pin_latch[4]~output .bus_hold = "false";
- defparam \pin_latch[4]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y10_N2
- cycloneiii_io_obuf \pin_latch[5]~output (
- .i(\label_aff_in|q [5]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pin_latch[5]),
- .obar());
- // synopsys translate_off
- defparam \pin_latch[5]~output .bus_hold = "false";
- defparam \pin_latch[5]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y19_N23
- cycloneiii_io_obuf \pin_latch[6]~output (
- .i(\label_aff_in|q [6]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pin_latch[6]),
- .obar());
- // synopsys translate_off
- defparam \pin_latch[6]~output .bus_hold = "false";
- defparam \pin_latch[6]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y5_N23
- cycloneiii_io_obuf \pin_latch[7]~output (
- .i(\label_aff_in|q [7]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pin_latch[7]),
- .obar());
- // synopsys translate_off
- defparam \pin_latch[7]~output .bus_hold = "false";
- defparam \pin_latch[7]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y8_N2
- cycloneiii_io_obuf \pout[0]~output (
- .i(\label_aff_out|q [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pout[0]),
- .obar());
- // synopsys translate_off
- defparam \pout[0]~output .bus_hold = "false";
- defparam \pout[0]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X5_Y0_N16
- cycloneiii_io_obuf \pout[1]~output (
- .i(\label_aff_out|q [1]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pout[1]),
- .obar());
- // synopsys translate_off
- defparam \pout[1]~output .bus_hold = "false";
- defparam \pout[1]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y21_N9
- cycloneiii_io_obuf \pout[2]~output (
- .i(gnd),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pout[2]),
- .obar());
- // synopsys translate_off
- defparam \pout[2]~output .bus_hold = "false";
- defparam \pout[2]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X0_Y7_N2
- cycloneiii_io_obuf \pout[3]~output (
- .i(\label_aff_out|q [3]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pout[3]),
- .obar());
- // synopsys translate_off
- defparam \pout[3]~output .bus_hold = "false";
- defparam \pout[3]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X25_Y24_N2
- cycloneiii_io_obuf \pout[4]~output (
- .i(gnd),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pout[4]),
- .obar());
- // synopsys translate_off
- defparam \pout[4]~output .bus_hold = "false";
- defparam \pout[4]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X21_Y0_N16
- cycloneiii_io_obuf \pout[5]~output (
- .i(gnd),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pout[5]),
- .obar());
- // synopsys translate_off
- defparam \pout[5]~output .bus_hold = "false";
- defparam \pout[5]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X34_Y16_N2
- cycloneiii_io_obuf \pout[6]~output (
- .i(gnd),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pout[6]),
- .obar());
- // synopsys translate_off
- defparam \pout[6]~output .bus_hold = "false";
- defparam \pout[6]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOOBUF_X18_Y0_N23
- cycloneiii_io_obuf \pout[7]~output (
- .i(gnd),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(pout[7]),
- .obar());
- // synopsys translate_off
- defparam \pout[7]~output .bus_hold = "false";
- defparam \pout[7]~output .open_drain_output = "false";
- // synopsys translate_on
- // Location: IOIBUF_X1_Y0_N1
- cycloneiii_io_ibuf \first~input (
- .i(first),
- .ibar(gnd),
- .o(\first~input_o ));
- // synopsys translate_off
- defparam \first~input .bus_hold = "false";
- defparam \first~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N0
- cycloneiii_lcell_comb \label_sm|label_cl|count[0]~8 (
- // Equation(s):
- // \label_sm|label_cl|count[0]~8_combout = \label_sm|label_cl|count [0] $ (VCC)
- // \label_sm|label_cl|count[0]~9 = CARRY(\label_sm|label_cl|count [0])
- .dataa(gnd),
- .datab(\label_sm|label_cl|count [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\label_sm|label_cl|count[0]~8_combout ),
- .cout(\label_sm|label_cl|count[0]~9 ));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[0]~8 .lut_mask = 16'h33CC;
- defparam \label_sm|label_cl|count[0]~8 .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: IOIBUF_X0_Y11_N8
- cycloneiii_io_ibuf \rst~input (
- .i(rst),
- .ibar(gnd),
- .o(\rst~input_o ));
- // synopsys translate_off
- defparam \rst~input .bus_hold = "false";
- defparam \rst~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: CLKCTRL_G2
- cycloneiii_clkctrl \rst~inputclkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\rst~input_o }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\rst~inputclkctrl_outclk ));
- // synopsys translate_off
- defparam \rst~inputclkctrl .clock_type = "global clock";
- defparam \rst~inputclkctrl .ena_register_mode = "none";
- // synopsys translate_on
- // Location: FF_X2_Y6_N1
- dffeas \label_sm|label_cl|count[0] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_sm|label_cl|count[0]~8_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(\first~input_o ),
- .sload(gnd),
- .ena(\label_sm|windows~combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_sm|label_cl|count [0]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[0] .is_wysiwyg = "true";
- defparam \label_sm|label_cl|count[0] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N2
- cycloneiii_lcell_comb \label_sm|label_cl|count[1]~10 (
- // Equation(s):
- // \label_sm|label_cl|count[1]~10_combout = (\label_sm|label_cl|count [1] & (!\label_sm|label_cl|count[0]~9 )) # (!\label_sm|label_cl|count [1] & ((\label_sm|label_cl|count[0]~9 ) # (GND)))
- // \label_sm|label_cl|count[1]~11 = CARRY((!\label_sm|label_cl|count[0]~9 ) # (!\label_sm|label_cl|count [1]))
- .dataa(gnd),
- .datab(\label_sm|label_cl|count [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\label_sm|label_cl|count[0]~9 ),
- .combout(\label_sm|label_cl|count[1]~10_combout ),
- .cout(\label_sm|label_cl|count[1]~11 ));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[1]~10 .lut_mask = 16'h3C3F;
- defparam \label_sm|label_cl|count[1]~10 .sum_lutc_input = "cin";
- // synopsys translate_on
- // Location: FF_X2_Y6_N3
- dffeas \label_sm|label_cl|count[1] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_sm|label_cl|count[1]~10_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(\first~input_o ),
- .sload(gnd),
- .ena(\label_sm|windows~combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_sm|label_cl|count [1]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[1] .is_wysiwyg = "true";
- defparam \label_sm|label_cl|count[1] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N4
- cycloneiii_lcell_comb \label_sm|label_cl|count[2]~12 (
- // Equation(s):
- // \label_sm|label_cl|count[2]~12_combout = (\label_sm|label_cl|count [2] & (\label_sm|label_cl|count[1]~11 $ (GND))) # (!\label_sm|label_cl|count [2] & (!\label_sm|label_cl|count[1]~11 & VCC))
- // \label_sm|label_cl|count[2]~13 = CARRY((\label_sm|label_cl|count [2] & !\label_sm|label_cl|count[1]~11 ))
- .dataa(gnd),
- .datab(\label_sm|label_cl|count [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\label_sm|label_cl|count[1]~11 ),
- .combout(\label_sm|label_cl|count[2]~12_combout ),
- .cout(\label_sm|label_cl|count[2]~13 ));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[2]~12 .lut_mask = 16'hC30C;
- defparam \label_sm|label_cl|count[2]~12 .sum_lutc_input = "cin";
- // synopsys translate_on
- // Location: FF_X2_Y6_N5
- dffeas \label_sm|label_cl|count[2] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_sm|label_cl|count[2]~12_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(\first~input_o ),
- .sload(gnd),
- .ena(\label_sm|windows~combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_sm|label_cl|count [2]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[2] .is_wysiwyg = "true";
- defparam \label_sm|label_cl|count[2] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N8
- cycloneiii_lcell_comb \label_sm|label_cl|count[4]~16 (
- // Equation(s):
- // \label_sm|label_cl|count[4]~16_combout = (\label_sm|label_cl|count [4] & (\label_sm|label_cl|count[3]~15 $ (GND))) # (!\label_sm|label_cl|count [4] & (!\label_sm|label_cl|count[3]~15 & VCC))
- // \label_sm|label_cl|count[4]~17 = CARRY((\label_sm|label_cl|count [4] & !\label_sm|label_cl|count[3]~15 ))
- .dataa(gnd),
- .datab(\label_sm|label_cl|count [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\label_sm|label_cl|count[3]~15 ),
- .combout(\label_sm|label_cl|count[4]~16_combout ),
- .cout(\label_sm|label_cl|count[4]~17 ));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[4]~16 .lut_mask = 16'hC30C;
- defparam \label_sm|label_cl|count[4]~16 .sum_lutc_input = "cin";
- // synopsys translate_on
- // Location: FF_X2_Y6_N9
- dffeas \label_sm|label_cl|count[4] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_sm|label_cl|count[4]~16_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(\first~input_o ),
- .sload(gnd),
- .ena(\label_sm|windows~combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_sm|label_cl|count [4]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[4] .is_wysiwyg = "true";
- defparam \label_sm|label_cl|count[4] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N10
- cycloneiii_lcell_comb \label_sm|label_cl|count[5]~18 (
- // Equation(s):
- // \label_sm|label_cl|count[5]~18_combout = (\label_sm|label_cl|count [5] & (!\label_sm|label_cl|count[4]~17 )) # (!\label_sm|label_cl|count [5] & ((\label_sm|label_cl|count[4]~17 ) # (GND)))
- // \label_sm|label_cl|count[5]~19 = CARRY((!\label_sm|label_cl|count[4]~17 ) # (!\label_sm|label_cl|count [5]))
- .dataa(\label_sm|label_cl|count [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\label_sm|label_cl|count[4]~17 ),
- .combout(\label_sm|label_cl|count[5]~18_combout ),
- .cout(\label_sm|label_cl|count[5]~19 ));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[5]~18 .lut_mask = 16'h5A5F;
- defparam \label_sm|label_cl|count[5]~18 .sum_lutc_input = "cin";
- // synopsys translate_on
- // Location: FF_X2_Y6_N11
- dffeas \label_sm|label_cl|count[5] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_sm|label_cl|count[5]~18_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(\first~input_o ),
- .sload(gnd),
- .ena(\label_sm|windows~combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_sm|label_cl|count [5]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[5] .is_wysiwyg = "true";
- defparam \label_sm|label_cl|count[5] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N14
- cycloneiii_lcell_comb \label_sm|label_cl|count[7]~22 (
- // Equation(s):
- // \label_sm|label_cl|count[7]~22_combout = \label_sm|label_cl|count[6]~21 $ (\label_sm|label_cl|count [7])
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\label_sm|label_cl|count [7]),
- .cin(\label_sm|label_cl|count[6]~21 ),
- .combout(\label_sm|label_cl|count[7]~22_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_sm|label_cl|count[7]~22 .lut_mask = 16'h0FF0;
- defparam \label_sm|label_cl|count[7]~22 .sum_lutc_input = "cin";
- // synopsys translate_on
- // Location: FF_X2_Y6_N15
- dffeas \label_sm|label_cl|count[7] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_sm|label_cl|count[7]~22_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(\first~input_o ),
- .sload(gnd),
- .ena(\label_sm|windows~combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_sm|label_cl|count [7]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_sm|label_cl|count[7] .is_wysiwyg = "true";
- defparam \label_sm|label_cl|count[7] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N26
- cycloneiii_lcell_comb \label_sm|label_cl|Equal0~1 (
- // Equation(s):
- // \label_sm|label_cl|Equal0~1_combout = (!\label_sm|label_cl|count [6] & (!\label_sm|label_cl|count [5] & (!\label_sm|label_cl|count [4] & !\label_sm|label_cl|count [7])))
- .dataa(\label_sm|label_cl|count [6]),
- .datab(\label_sm|label_cl|count [5]),
- .datac(\label_sm|label_cl|count [4]),
- .datad(\label_sm|label_cl|count [7]),
- .cin(gnd),
- .combout(\label_sm|label_cl|Equal0~1_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_sm|label_cl|Equal0~1 .lut_mask = 16'h0001;
- defparam \label_sm|label_cl|Equal0~1 .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N24
- cycloneiii_lcell_comb \label_sm|label_cl|Equal0~0 (
- // Equation(s):
- // \label_sm|label_cl|Equal0~0_combout = (\label_sm|label_cl|count [3] & (\label_sm|label_cl|count [0] & (\label_sm|label_cl|count [2] & !\label_sm|label_cl|count [1])))
- .dataa(\label_sm|label_cl|count [3]),
- .datab(\label_sm|label_cl|count [0]),
- .datac(\label_sm|label_cl|count [2]),
- .datad(\label_sm|label_cl|count [1]),
- .cin(gnd),
- .combout(\label_sm|label_cl|Equal0~0_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_sm|label_cl|Equal0~0 .lut_mask = 16'h0080;
- defparam \label_sm|label_cl|Equal0~0 .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: LCCOMB_X1_Y6_N24
- cycloneiii_lcell_comb \label_sm|label_aff|q[0]~0 (
- // Equation(s):
- // \label_sm|label_aff|q[0]~0_combout = (\first~input_o ) # ((\label_sm|label_aff|q [0] & ((!\label_sm|label_cl|Equal0~0_combout ) # (!\label_sm|label_cl|Equal0~1_combout ))))
- .dataa(\first~input_o ),
- .datab(\label_sm|label_cl|Equal0~1_combout ),
- .datac(\label_sm|label_aff|q [0]),
- .datad(\label_sm|label_cl|Equal0~0_combout ),
- .cin(gnd),
- .combout(\label_sm|label_aff|q[0]~0_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_sm|label_aff|q[0]~0 .lut_mask = 16'hBAFA;
- defparam \label_sm|label_aff|q[0]~0 .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: FF_X1_Y6_N25
- dffeas \label_sm|label_aff|q[0] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_sm|label_aff|q[0]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_sm|label_aff|q [0]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_sm|label_aff|q[0] .is_wysiwyg = "true";
- defparam \label_sm|label_aff|q[0] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N16
- cycloneiii_lcell_comb \label_sm|windows (
- // Equation(s):
- // \label_sm|windows~combout = (\first~input_o ) # (\label_sm|label_aff|q [0])
- .dataa(gnd),
- .datab(\first~input_o ),
- .datac(gnd),
- .datad(\label_sm|label_aff|q [0]),
- .cin(gnd),
- .combout(\label_sm|windows~combout ),
- .cout());
- // synopsys translate_off
- defparam \label_sm|windows .lut_mask = 16'hFFCC;
- defparam \label_sm|windows .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: IOIBUF_X0_Y11_N1
- cycloneiii_io_ibuf \clk~input (
- .i(clk),
- .ibar(gnd),
- .o(\clk~input_o ));
- // synopsys translate_off
- defparam \clk~input .bus_hold = "false";
- defparam \clk~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: CLKCTRL_G4
- cycloneiii_clkctrl \clk~inputclkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk~input_o }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk~inputclkctrl_outclk ));
- // synopsys translate_off
- defparam \clk~inputclkctrl .clock_type = "global clock";
- defparam \clk~inputclkctrl .ena_register_mode = "none";
- // synopsys translate_on
- // Location: LCCOMB_X1_Y6_N10
- cycloneiii_lcell_comb \label_aff_out_ena|q[0]~0 (
- // Equation(s):
- // \label_aff_out_ena|q[0]~0_combout = (\clk_ena~input_o & (\label_sm|label_cl|Equal0~1_combout & ((\label_sm|label_cl|Equal0~0_combout )))) # (!\clk_ena~input_o & (((\label_aff_out_ena|q [0]))))
- .dataa(\clk_ena~input_o ),
- .datab(\label_sm|label_cl|Equal0~1_combout ),
- .datac(\label_aff_out_ena|q [0]),
- .datad(\label_sm|label_cl|Equal0~0_combout ),
- .cin(gnd),
- .combout(\label_aff_out_ena|q[0]~0_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_aff_out_ena|q[0]~0 .lut_mask = 16'hD850;
- defparam \label_aff_out_ena|q[0]~0 .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: FF_X1_Y6_N11
- dffeas \label_aff_out_ena|q[0] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_aff_out_ena|q[0]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_out_ena|q [0]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_out_ena|q[0] .is_wysiwyg = "true";
- defparam \label_aff_out_ena|q[0] .power_up = "low";
- // synopsys translate_on
- // Location: IOIBUF_X0_Y9_N8
- cycloneiii_io_ibuf \pin[0]~input (
- .i(pin[0]),
- .ibar(gnd),
- .o(\pin[0]~input_o ));
- // synopsys translate_off
- defparam \pin[0]~input .bus_hold = "false";
- defparam \pin[0]~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: LCCOMB_X1_Y6_N4
- cycloneiii_lcell_comb \label_aff_in|q[0]~feeder (
- // Equation(s):
- // \label_aff_in|q[0]~feeder_combout = \pin[0]~input_o
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\pin[0]~input_o ),
- .cin(gnd),
- .combout(\label_aff_in|q[0]~feeder_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_aff_in|q[0]~feeder .lut_mask = 16'hFF00;
- defparam \label_aff_in|q[0]~feeder .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: FF_X1_Y6_N5
- dffeas \label_aff_in|q[0] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_aff_in|q[0]~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(\first~input_o ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_in|q [0]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_in|q[0] .is_wysiwyg = "true";
- defparam \label_aff_in|q[0] .power_up = "low";
- // synopsys translate_on
- // Location: IOIBUF_X34_Y3_N22
- cycloneiii_io_ibuf \pin[1]~input (
- .i(pin[1]),
- .ibar(gnd),
- .o(\pin[1]~input_o ));
- // synopsys translate_off
- defparam \pin[1]~input .bus_hold = "false";
- defparam \pin[1]~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: FF_X1_Y6_N15
- dffeas \label_aff_in|q[1] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(gnd),
- .asdata(\pin[1]~input_o ),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(\first~input_o ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_in|q [1]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_in|q[1] .is_wysiwyg = "true";
- defparam \label_aff_in|q[1] .power_up = "low";
- // synopsys translate_on
- // Location: IOIBUF_X0_Y8_N22
- cycloneiii_io_ibuf \pin[2]~input (
- .i(pin[2]),
- .ibar(gnd),
- .o(\pin[2]~input_o ));
- // synopsys translate_off
- defparam \pin[2]~input .bus_hold = "false";
- defparam \pin[2]~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: FF_X1_Y6_N17
- dffeas \label_aff_in|q[2] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(gnd),
- .asdata(\pin[2]~input_o ),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(\first~input_o ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_in|q [2]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_in|q[2] .is_wysiwyg = "true";
- defparam \label_aff_in|q[2] .power_up = "low";
- // synopsys translate_on
- // Location: IOIBUF_X18_Y0_N1
- cycloneiii_io_ibuf \pin[3]~input (
- .i(pin[3]),
- .ibar(gnd),
- .o(\pin[3]~input_o ));
- // synopsys translate_off
- defparam \pin[3]~input .bus_hold = "false";
- defparam \pin[3]~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: LCCOMB_X1_Y6_N18
- cycloneiii_lcell_comb \label_aff_in|q[3]~feeder (
- // Equation(s):
- // \label_aff_in|q[3]~feeder_combout = \pin[3]~input_o
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\pin[3]~input_o ),
- .cin(gnd),
- .combout(\label_aff_in|q[3]~feeder_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_aff_in|q[3]~feeder .lut_mask = 16'hFF00;
- defparam \label_aff_in|q[3]~feeder .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: FF_X1_Y6_N19
- dffeas \label_aff_in|q[3] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_aff_in|q[3]~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(\first~input_o ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_in|q [3]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_in|q[3] .is_wysiwyg = "true";
- defparam \label_aff_in|q[3] .power_up = "low";
- // synopsys translate_on
- // Location: IOIBUF_X0_Y4_N22
- cycloneiii_io_ibuf \pin[4]~input (
- .i(pin[4]),
- .ibar(gnd),
- .o(\pin[4]~input_o ));
- // synopsys translate_off
- defparam \pin[4]~input .bus_hold = "false";
- defparam \pin[4]~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: LCCOMB_X1_Y6_N12
- cycloneiii_lcell_comb \label_aff_in|q[4]~feeder (
- // Equation(s):
- // \label_aff_in|q[4]~feeder_combout = \pin[4]~input_o
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\pin[4]~input_o ),
- .cin(gnd),
- .combout(\label_aff_in|q[4]~feeder_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_aff_in|q[4]~feeder .lut_mask = 16'hFF00;
- defparam \label_aff_in|q[4]~feeder .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: FF_X1_Y6_N13
- dffeas \label_aff_in|q[4] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_aff_in|q[4]~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(\first~input_o ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_in|q [4]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_in|q[4] .is_wysiwyg = "true";
- defparam \label_aff_in|q[4] .power_up = "low";
- // synopsys translate_on
- // Location: IOIBUF_X0_Y7_N22
- cycloneiii_io_ibuf \pin[5]~input (
- .i(pin[5]),
- .ibar(gnd),
- .o(\pin[5]~input_o ));
- // synopsys translate_off
- defparam \pin[5]~input .bus_hold = "false";
- defparam \pin[5]~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: FF_X1_Y6_N31
- dffeas \label_aff_in|q[5] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(gnd),
- .asdata(\pin[5]~input_o ),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(\first~input_o ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_in|q [5]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_in|q[5] .is_wysiwyg = "true";
- defparam \label_aff_in|q[5] .power_up = "low";
- // synopsys translate_on
- // Location: IOIBUF_X11_Y24_N8
- cycloneiii_io_ibuf \pin[6]~input (
- .i(pin[6]),
- .ibar(gnd),
- .o(\pin[6]~input_o ));
- // synopsys translate_off
- defparam \pin[6]~input .bus_hold = "false";
- defparam \pin[6]~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: LCCOMB_X1_Y6_N8
- cycloneiii_lcell_comb \label_aff_in|q[6]~feeder (
- // Equation(s):
- // \label_aff_in|q[6]~feeder_combout = \pin[6]~input_o
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\pin[6]~input_o ),
- .cin(gnd),
- .combout(\label_aff_in|q[6]~feeder_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_aff_in|q[6]~feeder .lut_mask = 16'hFF00;
- defparam \label_aff_in|q[6]~feeder .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: FF_X1_Y6_N9
- dffeas \label_aff_in|q[6] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_aff_in|q[6]~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(\first~input_o ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_in|q [6]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_in|q[6] .is_wysiwyg = "true";
- defparam \label_aff_in|q[6] .power_up = "low";
- // synopsys translate_on
- // Location: IOIBUF_X7_Y0_N22
- cycloneiii_io_ibuf \pin[7]~input (
- .i(pin[7]),
- .ibar(gnd),
- .o(\pin[7]~input_o ));
- // synopsys translate_off
- defparam \pin[7]~input .bus_hold = "false";
- defparam \pin[7]~input .simulate_z_as = "z";
- // synopsys translate_on
- // Location: FF_X1_Y6_N3
- dffeas \label_aff_in|q[7] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(gnd),
- .asdata(\pin[7]~input_o ),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(\first~input_o ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_in|q [7]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_in|q[7] .is_wysiwyg = "true";
- defparam \label_aff_in|q[7] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N18
- cycloneiii_lcell_comb \label_aff_out|q[0]~feeder (
- // Equation(s):
- // \label_aff_out|q[0]~feeder_combout = VCC
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\label_aff_out|q[0]~feeder_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_aff_out|q[0]~feeder .lut_mask = 16'hFFFF;
- defparam \label_aff_out|q[0]~feeder .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N28
- cycloneiii_lcell_comb \label_sm|label_cl|Equal0~2 (
- // Equation(s):
- // \label_sm|label_cl|Equal0~2_combout = (\label_sm|label_cl|Equal0~1_combout & \label_sm|label_cl|Equal0~0_combout )
- .dataa(gnd),
- .datab(gnd),
- .datac(\label_sm|label_cl|Equal0~1_combout ),
- .datad(\label_sm|label_cl|Equal0~0_combout ),
- .cin(gnd),
- .combout(\label_sm|label_cl|Equal0~2_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_sm|label_cl|Equal0~2 .lut_mask = 16'hF000;
- defparam \label_sm|label_cl|Equal0~2 .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: FF_X2_Y6_N19
- dffeas \label_aff_out|q[0] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_aff_out|q[0]~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(\label_sm|label_cl|Equal0~2_combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_out|q [0]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_out|q[0] .is_wysiwyg = "true";
- defparam \label_aff_out|q[0] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N20
- cycloneiii_lcell_comb \label_aff_out|q[1]~feeder (
- // Equation(s):
- // \label_aff_out|q[1]~feeder_combout = VCC
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\label_aff_out|q[1]~feeder_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_aff_out|q[1]~feeder .lut_mask = 16'hFFFF;
- defparam \label_aff_out|q[1]~feeder .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: FF_X2_Y6_N21
- dffeas \label_aff_out|q[1] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_aff_out|q[1]~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(\label_sm|label_cl|Equal0~2_combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_out|q [1]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_out|q[1] .is_wysiwyg = "true";
- defparam \label_aff_out|q[1] .power_up = "low";
- // synopsys translate_on
- // Location: LCCOMB_X2_Y6_N30
- cycloneiii_lcell_comb \label_aff_out|q[3]~feeder (
- // Equation(s):
- // \label_aff_out|q[3]~feeder_combout = VCC
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\label_aff_out|q[3]~feeder_combout ),
- .cout());
- // synopsys translate_off
- defparam \label_aff_out|q[3]~feeder .lut_mask = 16'hFFFF;
- defparam \label_aff_out|q[3]~feeder .sum_lutc_input = "datac";
- // synopsys translate_on
- // Location: FF_X2_Y6_N31
- dffeas \label_aff_out|q[3] (
- .clk(\clk~inputclkctrl_outclk ),
- .d(\label_aff_out|q[3]~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst~inputclkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(\label_sm|label_cl|Equal0~2_combout ),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\label_aff_out|q [3]),
- .prn(vcc));
- // synopsys translate_off
- defparam \label_aff_out|q[3] .is_wysiwyg = "true";
- defparam \label_aff_out|q[3] .power_up = "low";
- // synopsys translate_on
- endmodule