/dvbt/trunk/debug/subblocks/wrapper/simulation/modelsim/pwapper.vo
Unknown | 1291 lines | 1182 code | 109 blank | 0 comment | 0 complexity | ef23e29aff9bf5ea80095b79e19131cd MD5 | raw file
1// Copyright (C) 1991-2010 Altera Corporation 2// Your use of Altera Corporation's design tools, logic functions 3// and other software and tools, and its AMPP partner logic 4// functions, and any output files from any of the foregoing 5// (including device programming or simulation files), and any 6// associated documentation or information are expressly subject 7// to the terms and conditions of the Altera Program License 8// Subscription Agreement, Altera MegaCore Function License 9// Agreement, or other applicable license agreement, including, 10// without limitation, that your use is for the sole purpose of 11// programming logic devices manufactured by Altera and sold by 12// Altera or its authorized distributors. Please refer to the 13// applicable agreement for further details. 14 15// VENDOR "Altera" 16// PROGRAM "Quartus II" 17// VERSION "Version 10.1 Build 153 11/29/2010 SJ Web Edition" 18 19// DATE "05/07/2011 14:41:35" 20 21// 22// Device: Altera EP3C5F256C6 Package FBGA256 23// 24 25// 26// This Verilog file should be used for ModelSim-Altera (Verilog) only 27// 28 29`timescale 1 ps/ 1 ps 30 31module stage_wrapper_rtl ( 32 clk, 33 rst, 34 clk_ena, 35 first, 36 windows, 37 last, 38 pin, 39 pin_latch, 40 pout); 41input clk; 42input rst; 43input clk_ena; 44input first; 45output windows; 46output last; 47input [7:0] pin; 48output [7:0] pin_latch; 49output [7:0] pout; 50 51// Design Ports Information 52// windows => Location: PIN_N2, I/O Standard: 2.5 V, Current Strength: Default 53// last => Location: PIN_K1, I/O Standard: 2.5 V, Current Strength: Default 54// pin_latch[0] => Location: PIN_L4, I/O Standard: 2.5 V, Current Strength: Default 55// pin_latch[1] => Location: PIN_J1, I/O Standard: 2.5 V, Current Strength: Default 56// pin_latch[2] => Location: PIN_K5, I/O Standard: 2.5 V, Current Strength: Default 57// pin_latch[3] => Location: PIN_A3, I/O Standard: 2.5 V, Current Strength: Default 58// pin_latch[4] => Location: PIN_L2, I/O Standard: 2.5 V, Current Strength: Default 59// pin_latch[5] => Location: PIN_J2, I/O Standard: 2.5 V, Current Strength: Default 60// pin_latch[6] => Location: PIN_F1, I/O Standard: 2.5 V, Current Strength: Default 61// pin_latch[7] => Location: PIN_R1, I/O Standard: 2.5 V, Current Strength: Default 62// pout[0] => Location: PIN_K2, I/O Standard: 2.5 V, Current Strength: Default 63// pout[1] => Location: PIN_T4, I/O Standard: 2.5 V, Current Strength: Default 64// pout[2] => Location: PIN_F3, I/O Standard: 2.5 V, Current Strength: Default 65// pout[3] => Location: PIN_L3, I/O Standard: 2.5 V, Current Strength: Default 66// pout[4] => Location: PIN_A12, I/O Standard: 2.5 V, Current Strength: Default 67// pout[5] => Location: PIN_N9, I/O Standard: 2.5 V, Current Strength: Default 68// pout[6] => Location: PIN_H15, I/O Standard: 2.5 V, Current Strength: Default 69// pout[7] => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default 70// first => Location: PIN_T3, I/O Standard: 2.5 V, Current Strength: Default 71// clk => Location: PIN_E2, I/O Standard: 2.5 V, Current Strength: Default 72// rst => Location: PIN_E1, I/O Standard: 2.5 V, Current Strength: Default 73// clk_ena => Location: PIN_K6, I/O Standard: 2.5 V, Current Strength: Default 74// pin[0] => Location: PIN_L6, I/O Standard: 2.5 V, Current Strength: Default 75// pin[1] => Location: PIN_L12, I/O Standard: 2.5 V, Current Strength: Default 76// pin[2] => Location: PIN_L1, I/O Standard: 2.5 V, Current Strength: Default 77// pin[3] => Location: PIN_L9, I/O Standard: 2.5 V, Current Strength: Default 78// pin[4] => Location: PIN_P1, I/O Standard: 2.5 V, Current Strength: Default 79// pin[5] => Location: PIN_N1, I/O Standard: 2.5 V, Current Strength: Default 80// pin[6] => Location: PIN_B7, I/O Standard: 2.5 V, Current Strength: Default 81// pin[7] => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default 82 83 84wire gnd; 85wire vcc; 86wire unknown; 87 88assign gnd = 1'b0; 89assign vcc = 1'b1; 90assign unknown = 1'bx; 91 92tri1 devclrn; 93tri1 devpor; 94tri1 devoe; 95// synopsys translate_off 96initial $sdf_annotate("pwapper_v.sdo"); 97// synopsys translate_on 98 99wire \label_sm|label_cl|count[3]~14_combout ; 100wire \label_sm|label_cl|count[6]~20_combout ; 101wire \clk_ena~input_o ; 102wire \first~input_o ; 103wire \label_sm|label_cl|count[0]~8_combout ; 104wire \rst~input_o ; 105wire \rst~inputclkctrl_outclk ; 106wire \label_sm|label_cl|count[0]~9 ; 107wire \label_sm|label_cl|count[1]~10_combout ; 108wire \label_sm|label_cl|count[1]~11 ; 109wire \label_sm|label_cl|count[2]~12_combout ; 110wire \label_sm|label_cl|count[2]~13 ; 111wire \label_sm|label_cl|count[3]~15 ; 112wire \label_sm|label_cl|count[4]~16_combout ; 113wire \label_sm|label_cl|count[4]~17 ; 114wire \label_sm|label_cl|count[5]~18_combout ; 115wire \label_sm|label_cl|count[5]~19 ; 116wire \label_sm|label_cl|count[6]~21 ; 117wire \label_sm|label_cl|count[7]~22_combout ; 118wire \label_sm|label_cl|Equal0~1_combout ; 119wire \label_sm|label_cl|Equal0~0_combout ; 120wire \label_sm|label_aff|q[0]~0_combout ; 121wire \label_sm|windows~combout ; 122wire \clk~input_o ; 123wire \clk~inputclkctrl_outclk ; 124wire \label_aff_out_ena|q[0]~0_combout ; 125wire \pin[0]~input_o ; 126wire \label_aff_in|q[0]~feeder_combout ; 127wire \pin[1]~input_o ; 128wire \pin[2]~input_o ; 129wire \pin[3]~input_o ; 130wire \label_aff_in|q[3]~feeder_combout ; 131wire \pin[4]~input_o ; 132wire \label_aff_in|q[4]~feeder_combout ; 133wire \pin[5]~input_o ; 134wire \pin[6]~input_o ; 135wire \label_aff_in|q[6]~feeder_combout ; 136wire \pin[7]~input_o ; 137wire \label_aff_out|q[0]~feeder_combout ; 138wire \label_sm|label_cl|Equal0~2_combout ; 139wire \label_aff_out|q[1]~feeder_combout ; 140wire \label_aff_out|q[3]~feeder_combout ; 141wire [0:0] \label_aff_out_ena|q ; 142wire [7:0] \label_aff_in|q ; 143wire [8:0] \label_sm|label_aff|q ; 144wire [7:0] \label_sm|label_cl|count ; 145wire [7:0] \label_aff_out|q ; 146 147 148// Location: FF_X2_Y6_N7 149dffeas \label_sm|label_cl|count[3] ( 150 .clk(\clk~inputclkctrl_outclk ), 151 .d(\label_sm|label_cl|count[3]~14_combout ), 152 .asdata(vcc), 153 .clrn(!\rst~inputclkctrl_outclk ), 154 .aload(gnd), 155 .sclr(\first~input_o ), 156 .sload(gnd), 157 .ena(\label_sm|windows~combout ), 158 .devclrn(devclrn), 159 .devpor(devpor), 160 .q(\label_sm|label_cl|count [3]), 161 .prn(vcc)); 162// synopsys translate_off 163defparam \label_sm|label_cl|count[3] .is_wysiwyg = "true"; 164defparam \label_sm|label_cl|count[3] .power_up = "low"; 165// synopsys translate_on 166 167// Location: FF_X2_Y6_N13 168dffeas \label_sm|label_cl|count[6] ( 169 .clk(\clk~inputclkctrl_outclk ), 170 .d(\label_sm|label_cl|count[6]~20_combout ), 171 .asdata(vcc), 172 .clrn(!\rst~inputclkctrl_outclk ), 173 .aload(gnd), 174 .sclr(\first~input_o ), 175 .sload(gnd), 176 .ena(\label_sm|windows~combout ), 177 .devclrn(devclrn), 178 .devpor(devpor), 179 .q(\label_sm|label_cl|count [6]), 180 .prn(vcc)); 181// synopsys translate_off 182defparam \label_sm|label_cl|count[6] .is_wysiwyg = "true"; 183defparam \label_sm|label_cl|count[6] .power_up = "low"; 184// synopsys translate_on 185 186// Location: LCCOMB_X2_Y6_N6 187cycloneiii_lcell_comb \label_sm|label_cl|count[3]~14 ( 188// Equation(s): 189// \label_sm|label_cl|count[3]~14_combout = (\label_sm|label_cl|count [3] & (!\label_sm|label_cl|count[2]~13 )) # (!\label_sm|label_cl|count [3] & ((\label_sm|label_cl|count[2]~13 ) # (GND))) 190// \label_sm|label_cl|count[3]~15 = CARRY((!\label_sm|label_cl|count[2]~13 ) # (!\label_sm|label_cl|count [3])) 191 192 .dataa(\label_sm|label_cl|count [3]), 193 .datab(gnd), 194 .datac(gnd), 195 .datad(vcc), 196 .cin(\label_sm|label_cl|count[2]~13 ), 197 .combout(\label_sm|label_cl|count[3]~14_combout ), 198 .cout(\label_sm|label_cl|count[3]~15 )); 199// synopsys translate_off 200defparam \label_sm|label_cl|count[3]~14 .lut_mask = 16'h5A5F; 201defparam \label_sm|label_cl|count[3]~14 .sum_lutc_input = "cin"; 202// synopsys translate_on 203 204// Location: LCCOMB_X2_Y6_N12 205cycloneiii_lcell_comb \label_sm|label_cl|count[6]~20 ( 206// Equation(s): 207// \label_sm|label_cl|count[6]~20_combout = (\label_sm|label_cl|count [6] & (\label_sm|label_cl|count[5]~19 $ (GND))) # (!\label_sm|label_cl|count [6] & (!\label_sm|label_cl|count[5]~19 & VCC)) 208// \label_sm|label_cl|count[6]~21 = CARRY((\label_sm|label_cl|count [6] & !\label_sm|label_cl|count[5]~19 )) 209 210 .dataa(\label_sm|label_cl|count [6]), 211 .datab(gnd), 212 .datac(gnd), 213 .datad(vcc), 214 .cin(\label_sm|label_cl|count[5]~19 ), 215 .combout(\label_sm|label_cl|count[6]~20_combout ), 216 .cout(\label_sm|label_cl|count[6]~21 )); 217// synopsys translate_off 218defparam \label_sm|label_cl|count[6]~20 .lut_mask = 16'hA50A; 219defparam \label_sm|label_cl|count[6]~20 .sum_lutc_input = "cin"; 220// synopsys translate_on 221 222// Location: IOIBUF_X0_Y9_N1 223cycloneiii_io_ibuf \clk_ena~input ( 224 .i(clk_ena), 225 .ibar(gnd), 226 .o(\clk_ena~input_o )); 227// synopsys translate_off 228defparam \clk_ena~input .bus_hold = "false"; 229defparam \clk_ena~input .simulate_z_as = "z"; 230// synopsys translate_on 231 232// Location: IOOBUF_X0_Y7_N16 233cycloneiii_io_obuf \windows~output ( 234 .i(\label_sm|windows~combout ), 235 .oe(vcc), 236 .seriesterminationcontrol(16'b0000000000000000), 237 .devoe(devoe), 238 .o(windows), 239 .obar()); 240// synopsys translate_off 241defparam \windows~output .bus_hold = "false"; 242defparam \windows~output .open_drain_output = "false"; 243// synopsys translate_on 244 245// Location: IOOBUF_X0_Y8_N9 246cycloneiii_io_obuf \last~output ( 247 .i(\label_aff_out_ena|q [0]), 248 .oe(vcc), 249 .seriesterminationcontrol(16'b0000000000000000), 250 .devoe(devoe), 251 .o(last), 252 .obar()); 253// synopsys translate_off 254defparam \last~output .bus_hold = "false"; 255defparam \last~output .open_drain_output = "false"; 256// synopsys translate_on 257 258// Location: IOOBUF_X0_Y6_N23 259cycloneiii_io_obuf \pin_latch[0]~output ( 260 .i(\label_aff_in|q [0]), 261 .oe(vcc), 262 .seriesterminationcontrol(16'b0000000000000000), 263 .devoe(devoe), 264 .o(pin_latch[0]), 265 .obar()); 266// synopsys translate_off 267defparam \pin_latch[0]~output .bus_hold = "false"; 268defparam \pin_latch[0]~output .open_drain_output = "false"; 269// synopsys translate_on 270 271// Location: IOOBUF_X0_Y10_N16 272cycloneiii_io_obuf \pin_latch[1]~output ( 273 .i(\label_aff_in|q [1]), 274 .oe(vcc), 275 .seriesterminationcontrol(16'b0000000000000000), 276 .devoe(devoe), 277 .o(pin_latch[1]), 278 .obar()); 279// synopsys translate_off 280defparam \pin_latch[1]~output .bus_hold = "false"; 281defparam \pin_latch[1]~output .open_drain_output = "false"; 282// synopsys translate_on 283 284// Location: IOOBUF_X0_Y6_N16 285cycloneiii_io_obuf \pin_latch[2]~output ( 286 .i(\label_aff_in|q [2]), 287 .oe(vcc), 288 .seriesterminationcontrol(16'b0000000000000000), 289 .devoe(devoe), 290 .o(pin_latch[2]), 291 .obar()); 292// synopsys translate_off 293defparam \pin_latch[2]~output .bus_hold = "false"; 294defparam \pin_latch[2]~output .open_drain_output = "false"; 295// synopsys translate_on 296 297// Location: IOOBUF_X3_Y24_N16 298cycloneiii_io_obuf \pin_latch[3]~output ( 299 .i(\label_aff_in|q [3]), 300 .oe(vcc), 301 .seriesterminationcontrol(16'b0000000000000000), 302 .devoe(devoe), 303 .o(pin_latch[3]), 304 .obar()); 305// synopsys translate_off 306defparam \pin_latch[3]~output .bus_hold = "false"; 307defparam \pin_latch[3]~output .open_drain_output = "false"; 308// synopsys translate_on 309 310// Location: IOOBUF_X0_Y8_N16 311cycloneiii_io_obuf \pin_latch[4]~output ( 312 .i(\label_aff_in|q [4]), 313 .oe(vcc), 314 .seriesterminationcontrol(16'b0000000000000000), 315 .devoe(devoe), 316 .o(pin_latch[4]), 317 .obar()); 318// synopsys translate_off 319defparam \pin_latch[4]~output .bus_hold = "false"; 320defparam \pin_latch[4]~output .open_drain_output = "false"; 321// synopsys translate_on 322 323// Location: IOOBUF_X0_Y10_N2 324cycloneiii_io_obuf \pin_latch[5]~output ( 325 .i(\label_aff_in|q [5]), 326 .oe(vcc), 327 .seriesterminationcontrol(16'b0000000000000000), 328 .devoe(devoe), 329 .o(pin_latch[5]), 330 .obar()); 331// synopsys translate_off 332defparam \pin_latch[5]~output .bus_hold = "false"; 333defparam \pin_latch[5]~output .open_drain_output = "false"; 334// synopsys translate_on 335 336// Location: IOOBUF_X0_Y19_N23 337cycloneiii_io_obuf \pin_latch[6]~output ( 338 .i(\label_aff_in|q [6]), 339 .oe(vcc), 340 .seriesterminationcontrol(16'b0000000000000000), 341 .devoe(devoe), 342 .o(pin_latch[6]), 343 .obar()); 344// synopsys translate_off 345defparam \pin_latch[6]~output .bus_hold = "false"; 346defparam \pin_latch[6]~output .open_drain_output = "false"; 347// synopsys translate_on 348 349// Location: IOOBUF_X0_Y5_N23 350cycloneiii_io_obuf \pin_latch[7]~output ( 351 .i(\label_aff_in|q [7]), 352 .oe(vcc), 353 .seriesterminationcontrol(16'b0000000000000000), 354 .devoe(devoe), 355 .o(pin_latch[7]), 356 .obar()); 357// synopsys translate_off 358defparam \pin_latch[7]~output .bus_hold = "false"; 359defparam \pin_latch[7]~output .open_drain_output = "false"; 360// synopsys translate_on 361 362// Location: IOOBUF_X0_Y8_N2 363cycloneiii_io_obuf \pout[0]~output ( 364 .i(\label_aff_out|q [0]), 365 .oe(vcc), 366 .seriesterminationcontrol(16'b0000000000000000), 367 .devoe(devoe), 368 .o(pout[0]), 369 .obar()); 370// synopsys translate_off 371defparam \pout[0]~output .bus_hold = "false"; 372defparam \pout[0]~output .open_drain_output = "false"; 373// synopsys translate_on 374 375// Location: IOOBUF_X5_Y0_N16 376cycloneiii_io_obuf \pout[1]~output ( 377 .i(\label_aff_out|q [1]), 378 .oe(vcc), 379 .seriesterminationcontrol(16'b0000000000000000), 380 .devoe(devoe), 381 .o(pout[1]), 382 .obar()); 383// synopsys translate_off 384defparam \pout[1]~output .bus_hold = "false"; 385defparam \pout[1]~output .open_drain_output = "false"; 386// synopsys translate_on 387 388// Location: IOOBUF_X0_Y21_N9 389cycloneiii_io_obuf \pout[2]~output ( 390 .i(gnd), 391 .oe(vcc), 392 .seriesterminationcontrol(16'b0000000000000000), 393 .devoe(devoe), 394 .o(pout[2]), 395 .obar()); 396// synopsys translate_off 397defparam \pout[2]~output .bus_hold = "false"; 398defparam \pout[2]~output .open_drain_output = "false"; 399// synopsys translate_on 400 401// Location: IOOBUF_X0_Y7_N2 402cycloneiii_io_obuf \pout[3]~output ( 403 .i(\label_aff_out|q [3]), 404 .oe(vcc), 405 .seriesterminationcontrol(16'b0000000000000000), 406 .devoe(devoe), 407 .o(pout[3]), 408 .obar()); 409// synopsys translate_off 410defparam \pout[3]~output .bus_hold = "false"; 411defparam \pout[3]~output .open_drain_output = "false"; 412// synopsys translate_on 413 414// Location: IOOBUF_X25_Y24_N2 415cycloneiii_io_obuf \pout[4]~output ( 416 .i(gnd), 417 .oe(vcc), 418 .seriesterminationcontrol(16'b0000000000000000), 419 .devoe(devoe), 420 .o(pout[4]), 421 .obar()); 422// synopsys translate_off 423defparam \pout[4]~output .bus_hold = "false"; 424defparam \pout[4]~output .open_drain_output = "false"; 425// synopsys translate_on 426 427// Location: IOOBUF_X21_Y0_N16 428cycloneiii_io_obuf \pout[5]~output ( 429 .i(gnd), 430 .oe(vcc), 431 .seriesterminationcontrol(16'b0000000000000000), 432 .devoe(devoe), 433 .o(pout[5]), 434 .obar()); 435// synopsys translate_off 436defparam \pout[5]~output .bus_hold = "false"; 437defparam \pout[5]~output .open_drain_output = "false"; 438// synopsys translate_on 439 440// Location: IOOBUF_X34_Y16_N2 441cycloneiii_io_obuf \pout[6]~output ( 442 .i(gnd), 443 .oe(vcc), 444 .seriesterminationcontrol(16'b0000000000000000), 445 .devoe(devoe), 446 .o(pout[6]), 447 .obar()); 448// synopsys translate_off 449defparam \pout[6]~output .bus_hold = "false"; 450defparam \pout[6]~output .open_drain_output = "false"; 451// synopsys translate_on 452 453// Location: IOOBUF_X18_Y0_N23 454cycloneiii_io_obuf \pout[7]~output ( 455 .i(gnd), 456 .oe(vcc), 457 .seriesterminationcontrol(16'b0000000000000000), 458 .devoe(devoe), 459 .o(pout[7]), 460 .obar()); 461// synopsys translate_off 462defparam \pout[7]~output .bus_hold = "false"; 463defparam \pout[7]~output .open_drain_output = "false"; 464// synopsys translate_on 465 466// Location: IOIBUF_X1_Y0_N1 467cycloneiii_io_ibuf \first~input ( 468 .i(first), 469 .ibar(gnd), 470 .o(\first~input_o )); 471// synopsys translate_off 472defparam \first~input .bus_hold = "false"; 473defparam \first~input .simulate_z_as = "z"; 474// synopsys translate_on 475 476// Location: LCCOMB_X2_Y6_N0 477cycloneiii_lcell_comb \label_sm|label_cl|count[0]~8 ( 478// Equation(s): 479// \label_sm|label_cl|count[0]~8_combout = \label_sm|label_cl|count [0] $ (VCC) 480// \label_sm|label_cl|count[0]~9 = CARRY(\label_sm|label_cl|count [0]) 481 482 .dataa(gnd), 483 .datab(\label_sm|label_cl|count [0]), 484 .datac(gnd), 485 .datad(vcc), 486 .cin(gnd), 487 .combout(\label_sm|label_cl|count[0]~8_combout ), 488 .cout(\label_sm|label_cl|count[0]~9 )); 489// synopsys translate_off 490defparam \label_sm|label_cl|count[0]~8 .lut_mask = 16'h33CC; 491defparam \label_sm|label_cl|count[0]~8 .sum_lutc_input = "datac"; 492// synopsys translate_on 493 494// Location: IOIBUF_X0_Y11_N8 495cycloneiii_io_ibuf \rst~input ( 496 .i(rst), 497 .ibar(gnd), 498 .o(\rst~input_o )); 499// synopsys translate_off 500defparam \rst~input .bus_hold = "false"; 501defparam \rst~input .simulate_z_as = "z"; 502// synopsys translate_on 503 504// Location: CLKCTRL_G2 505cycloneiii_clkctrl \rst~inputclkctrl ( 506 .ena(vcc), 507 .inclk({vcc,vcc,vcc,\rst~input_o }), 508 .clkselect(2'b00), 509 .devclrn(devclrn), 510 .devpor(devpor), 511 .outclk(\rst~inputclkctrl_outclk )); 512// synopsys translate_off 513defparam \rst~inputclkctrl .clock_type = "global clock"; 514defparam \rst~inputclkctrl .ena_register_mode = "none"; 515// synopsys translate_on 516 517// Location: FF_X2_Y6_N1 518dffeas \label_sm|label_cl|count[0] ( 519 .clk(\clk~inputclkctrl_outclk ), 520 .d(\label_sm|label_cl|count[0]~8_combout ), 521 .asdata(vcc), 522 .clrn(!\rst~inputclkctrl_outclk ), 523 .aload(gnd), 524 .sclr(\first~input_o ), 525 .sload(gnd), 526 .ena(\label_sm|windows~combout ), 527 .devclrn(devclrn), 528 .devpor(devpor), 529 .q(\label_sm|label_cl|count [0]), 530 .prn(vcc)); 531// synopsys translate_off 532defparam \label_sm|label_cl|count[0] .is_wysiwyg = "true"; 533defparam \label_sm|label_cl|count[0] .power_up = "low"; 534// synopsys translate_on 535 536// Location: LCCOMB_X2_Y6_N2 537cycloneiii_lcell_comb \label_sm|label_cl|count[1]~10 ( 538// Equation(s): 539// \label_sm|label_cl|count[1]~10_combout = (\label_sm|label_cl|count [1] & (!\label_sm|label_cl|count[0]~9 )) # (!\label_sm|label_cl|count [1] & ((\label_sm|label_cl|count[0]~9 ) # (GND))) 540// \label_sm|label_cl|count[1]~11 = CARRY((!\label_sm|label_cl|count[0]~9 ) # (!\label_sm|label_cl|count [1])) 541 542 .dataa(gnd), 543 .datab(\label_sm|label_cl|count [1]), 544 .datac(gnd), 545 .datad(vcc), 546 .cin(\label_sm|label_cl|count[0]~9 ), 547 .combout(\label_sm|label_cl|count[1]~10_combout ), 548 .cout(\label_sm|label_cl|count[1]~11 )); 549// synopsys translate_off 550defparam \label_sm|label_cl|count[1]~10 .lut_mask = 16'h3C3F; 551defparam \label_sm|label_cl|count[1]~10 .sum_lutc_input = "cin"; 552// synopsys translate_on 553 554// Location: FF_X2_Y6_N3 555dffeas \label_sm|label_cl|count[1] ( 556 .clk(\clk~inputclkctrl_outclk ), 557 .d(\label_sm|label_cl|count[1]~10_combout ), 558 .asdata(vcc), 559 .clrn(!\rst~inputclkctrl_outclk ), 560 .aload(gnd), 561 .sclr(\first~input_o ), 562 .sload(gnd), 563 .ena(\label_sm|windows~combout ), 564 .devclrn(devclrn), 565 .devpor(devpor), 566 .q(\label_sm|label_cl|count [1]), 567 .prn(vcc)); 568// synopsys translate_off 569defparam \label_sm|label_cl|count[1] .is_wysiwyg = "true"; 570defparam \label_sm|label_cl|count[1] .power_up = "low"; 571// synopsys translate_on 572 573// Location: LCCOMB_X2_Y6_N4 574cycloneiii_lcell_comb \label_sm|label_cl|count[2]~12 ( 575// Equation(s): 576// \label_sm|label_cl|count[2]~12_combout = (\label_sm|label_cl|count [2] & (\label_sm|label_cl|count[1]~11 $ (GND))) # (!\label_sm|label_cl|count [2] & (!\label_sm|label_cl|count[1]~11 & VCC)) 577// \label_sm|label_cl|count[2]~13 = CARRY((\label_sm|label_cl|count [2] & !\label_sm|label_cl|count[1]~11 )) 578 579 .dataa(gnd), 580 .datab(\label_sm|label_cl|count [2]), 581 .datac(gnd), 582 .datad(vcc), 583 .cin(\label_sm|label_cl|count[1]~11 ), 584 .combout(\label_sm|label_cl|count[2]~12_combout ), 585 .cout(\label_sm|label_cl|count[2]~13 )); 586// synopsys translate_off 587defparam \label_sm|label_cl|count[2]~12 .lut_mask = 16'hC30C; 588defparam \label_sm|label_cl|count[2]~12 .sum_lutc_input = "cin"; 589// synopsys translate_on 590 591// Location: FF_X2_Y6_N5 592dffeas \label_sm|label_cl|count[2] ( 593 .clk(\clk~inputclkctrl_outclk ), 594 .d(\label_sm|label_cl|count[2]~12_combout ), 595 .asdata(vcc), 596 .clrn(!\rst~inputclkctrl_outclk ), 597 .aload(gnd), 598 .sclr(\first~input_o ), 599 .sload(gnd), 600 .ena(\label_sm|windows~combout ), 601 .devclrn(devclrn), 602 .devpor(devpor), 603 .q(\label_sm|label_cl|count [2]), 604 .prn(vcc)); 605// synopsys translate_off 606defparam \label_sm|label_cl|count[2] .is_wysiwyg = "true"; 607defparam \label_sm|label_cl|count[2] .power_up = "low"; 608// synopsys translate_on 609 610// Location: LCCOMB_X2_Y6_N8 611cycloneiii_lcell_comb \label_sm|label_cl|count[4]~16 ( 612// Equation(s): 613// \label_sm|label_cl|count[4]~16_combout = (\label_sm|label_cl|count [4] & (\label_sm|label_cl|count[3]~15 $ (GND))) # (!\label_sm|label_cl|count [4] & (!\label_sm|label_cl|count[3]~15 & VCC)) 614// \label_sm|label_cl|count[4]~17 = CARRY((\label_sm|label_cl|count [4] & !\label_sm|label_cl|count[3]~15 )) 615 616 .dataa(gnd), 617 .datab(\label_sm|label_cl|count [4]), 618 .datac(gnd), 619 .datad(vcc), 620 .cin(\label_sm|label_cl|count[3]~15 ), 621 .combout(\label_sm|label_cl|count[4]~16_combout ), 622 .cout(\label_sm|label_cl|count[4]~17 )); 623// synopsys translate_off 624defparam \label_sm|label_cl|count[4]~16 .lut_mask = 16'hC30C; 625defparam \label_sm|label_cl|count[4]~16 .sum_lutc_input = "cin"; 626// synopsys translate_on 627 628// Location: FF_X2_Y6_N9 629dffeas \label_sm|label_cl|count[4] ( 630 .clk(\clk~inputclkctrl_outclk ), 631 .d(\label_sm|label_cl|count[4]~16_combout ), 632 .asdata(vcc), 633 .clrn(!\rst~inputclkctrl_outclk ), 634 .aload(gnd), 635 .sclr(\first~input_o ), 636 .sload(gnd), 637 .ena(\label_sm|windows~combout ), 638 .devclrn(devclrn), 639 .devpor(devpor), 640 .q(\label_sm|label_cl|count [4]), 641 .prn(vcc)); 642// synopsys translate_off 643defparam \label_sm|label_cl|count[4] .is_wysiwyg = "true"; 644defparam \label_sm|label_cl|count[4] .power_up = "low"; 645// synopsys translate_on 646 647// Location: LCCOMB_X2_Y6_N10 648cycloneiii_lcell_comb \label_sm|label_cl|count[5]~18 ( 649// Equation(s): 650// \label_sm|label_cl|count[5]~18_combout = (\label_sm|label_cl|count [5] & (!\label_sm|label_cl|count[4]~17 )) # (!\label_sm|label_cl|count [5] & ((\label_sm|label_cl|count[4]~17 ) # (GND))) 651// \label_sm|label_cl|count[5]~19 = CARRY((!\label_sm|label_cl|count[4]~17 ) # (!\label_sm|label_cl|count [5])) 652 653 .dataa(\label_sm|label_cl|count [5]), 654 .datab(gnd), 655 .datac(gnd), 656 .datad(vcc), 657 .cin(\label_sm|label_cl|count[4]~17 ), 658 .combout(\label_sm|label_cl|count[5]~18_combout ), 659 .cout(\label_sm|label_cl|count[5]~19 )); 660// synopsys translate_off 661defparam \label_sm|label_cl|count[5]~18 .lut_mask = 16'h5A5F; 662defparam \label_sm|label_cl|count[5]~18 .sum_lutc_input = "cin"; 663// synopsys translate_on 664 665// Location: FF_X2_Y6_N11 666dffeas \label_sm|label_cl|count[5] ( 667 .clk(\clk~inputclkctrl_outclk ), 668 .d(\label_sm|label_cl|count[5]~18_combout ), 669 .asdata(vcc), 670 .clrn(!\rst~inputclkctrl_outclk ), 671 .aload(gnd), 672 .sclr(\first~input_o ), 673 .sload(gnd), 674 .ena(\label_sm|windows~combout ), 675 .devclrn(devclrn), 676 .devpor(devpor), 677 .q(\label_sm|label_cl|count [5]), 678 .prn(vcc)); 679// synopsys translate_off 680defparam \label_sm|label_cl|count[5] .is_wysiwyg = "true"; 681defparam \label_sm|label_cl|count[5] .power_up = "low"; 682// synopsys translate_on 683 684// Location: LCCOMB_X2_Y6_N14 685cycloneiii_lcell_comb \label_sm|label_cl|count[7]~22 ( 686// Equation(s): 687// \label_sm|label_cl|count[7]~22_combout = \label_sm|label_cl|count[6]~21 $ (\label_sm|label_cl|count [7]) 688 689 .dataa(gnd), 690 .datab(gnd), 691 .datac(gnd), 692 .datad(\label_sm|label_cl|count [7]), 693 .cin(\label_sm|label_cl|count[6]~21 ), 694 .combout(\label_sm|label_cl|count[7]~22_combout ), 695 .cout()); 696// synopsys translate_off 697defparam \label_sm|label_cl|count[7]~22 .lut_mask = 16'h0FF0; 698defparam \label_sm|label_cl|count[7]~22 .sum_lutc_input = "cin"; 699// synopsys translate_on 700 701// Location: FF_X2_Y6_N15 702dffeas \label_sm|label_cl|count[7] ( 703 .clk(\clk~inputclkctrl_outclk ), 704 .d(\label_sm|label_cl|count[7]~22_combout ), 705 .asdata(vcc), 706 .clrn(!\rst~inputclkctrl_outclk ), 707 .aload(gnd), 708 .sclr(\first~input_o ), 709 .sload(gnd), 710 .ena(\label_sm|windows~combout ), 711 .devclrn(devclrn), 712 .devpor(devpor), 713 .q(\label_sm|label_cl|count [7]), 714 .prn(vcc)); 715// synopsys translate_off 716defparam \label_sm|label_cl|count[7] .is_wysiwyg = "true"; 717defparam \label_sm|label_cl|count[7] .power_up = "low"; 718// synopsys translate_on 719 720// Location: LCCOMB_X2_Y6_N26 721cycloneiii_lcell_comb \label_sm|label_cl|Equal0~1 ( 722// Equation(s): 723// \label_sm|label_cl|Equal0~1_combout = (!\label_sm|label_cl|count [6] & (!\label_sm|label_cl|count [5] & (!\label_sm|label_cl|count [4] & !\label_sm|label_cl|count [7]))) 724 725 .dataa(\label_sm|label_cl|count [6]), 726 .datab(\label_sm|label_cl|count [5]), 727 .datac(\label_sm|label_cl|count [4]), 728 .datad(\label_sm|label_cl|count [7]), 729 .cin(gnd), 730 .combout(\label_sm|label_cl|Equal0~1_combout ), 731 .cout()); 732// synopsys translate_off 733defparam \label_sm|label_cl|Equal0~1 .lut_mask = 16'h0001; 734defparam \label_sm|label_cl|Equal0~1 .sum_lutc_input = "datac"; 735// synopsys translate_on 736 737// Location: LCCOMB_X2_Y6_N24 738cycloneiii_lcell_comb \label_sm|label_cl|Equal0~0 ( 739// Equation(s): 740// \label_sm|label_cl|Equal0~0_combout = (\label_sm|label_cl|count [3] & (\label_sm|label_cl|count [0] & (\label_sm|label_cl|count [2] & !\label_sm|label_cl|count [1]))) 741 742 .dataa(\label_sm|label_cl|count [3]), 743 .datab(\label_sm|label_cl|count [0]), 744 .datac(\label_sm|label_cl|count [2]), 745 .datad(\label_sm|label_cl|count [1]), 746 .cin(gnd), 747 .combout(\label_sm|label_cl|Equal0~0_combout ), 748 .cout()); 749// synopsys translate_off 750defparam \label_sm|label_cl|Equal0~0 .lut_mask = 16'h0080; 751defparam \label_sm|label_cl|Equal0~0 .sum_lutc_input = "datac"; 752// synopsys translate_on 753 754// Location: LCCOMB_X1_Y6_N24 755cycloneiii_lcell_comb \label_sm|label_aff|q[0]~0 ( 756// Equation(s): 757// \label_sm|label_aff|q[0]~0_combout = (\first~input_o ) # ((\label_sm|label_aff|q [0] & ((!\label_sm|label_cl|Equal0~0_combout ) # (!\label_sm|label_cl|Equal0~1_combout )))) 758 759 .dataa(\first~input_o ), 760 .datab(\label_sm|label_cl|Equal0~1_combout ), 761 .datac(\label_sm|label_aff|q [0]), 762 .datad(\label_sm|label_cl|Equal0~0_combout ), 763 .cin(gnd), 764 .combout(\label_sm|label_aff|q[0]~0_combout ), 765 .cout()); 766// synopsys translate_off 767defparam \label_sm|label_aff|q[0]~0 .lut_mask = 16'hBAFA; 768defparam \label_sm|label_aff|q[0]~0 .sum_lutc_input = "datac"; 769// synopsys translate_on 770 771// Location: FF_X1_Y6_N25 772dffeas \label_sm|label_aff|q[0] ( 773 .clk(\clk~inputclkctrl_outclk ), 774 .d(\label_sm|label_aff|q[0]~0_combout ), 775 .asdata(vcc), 776 .clrn(!\rst~inputclkctrl_outclk ), 777 .aload(gnd), 778 .sclr(gnd), 779 .sload(gnd), 780 .ena(vcc), 781 .devclrn(devclrn), 782 .devpor(devpor), 783 .q(\label_sm|label_aff|q [0]), 784 .prn(vcc)); 785// synopsys translate_off 786defparam \label_sm|label_aff|q[0] .is_wysiwyg = "true"; 787defparam \label_sm|label_aff|q[0] .power_up = "low"; 788// synopsys translate_on 789 790// Location: LCCOMB_X2_Y6_N16 791cycloneiii_lcell_comb \label_sm|windows ( 792// Equation(s): 793// \label_sm|windows~combout = (\first~input_o ) # (\label_sm|label_aff|q [0]) 794 795 .dataa(gnd), 796 .datab(\first~input_o ), 797 .datac(gnd), 798 .datad(\label_sm|label_aff|q [0]), 799 .cin(gnd), 800 .combout(\label_sm|windows~combout ), 801 .cout()); 802// synopsys translate_off 803defparam \label_sm|windows .lut_mask = 16'hFFCC; 804defparam \label_sm|windows .sum_lutc_input = "datac"; 805// synopsys translate_on 806 807// Location: IOIBUF_X0_Y11_N1 808cycloneiii_io_ibuf \clk~input ( 809 .i(clk), 810 .ibar(gnd), 811 .o(\clk~input_o )); 812// synopsys translate_off 813defparam \clk~input .bus_hold = "false"; 814defparam \clk~input .simulate_z_as = "z"; 815// synopsys translate_on 816 817// Location: CLKCTRL_G4 818cycloneiii_clkctrl \clk~inputclkctrl ( 819 .ena(vcc), 820 .inclk({vcc,vcc,vcc,\clk~input_o }), 821 .clkselect(2'b00), 822 .devclrn(devclrn), 823 .devpor(devpor), 824 .outclk(\clk~inputclkctrl_outclk )); 825// synopsys translate_off 826defparam \clk~inputclkctrl .clock_type = "global clock"; 827defparam \clk~inputclkctrl .ena_register_mode = "none"; 828// synopsys translate_on 829 830// Location: LCCOMB_X1_Y6_N10 831cycloneiii_lcell_comb \label_aff_out_ena|q[0]~0 ( 832// Equation(s): 833// \label_aff_out_ena|q[0]~0_combout = (\clk_ena~input_o & (\label_sm|label_cl|Equal0~1_combout & ((\label_sm|label_cl|Equal0~0_combout )))) # (!\clk_ena~input_o & (((\label_aff_out_ena|q [0])))) 834 835 .dataa(\clk_ena~input_o ), 836 .datab(\label_sm|label_cl|Equal0~1_combout ), 837 .datac(\label_aff_out_ena|q [0]), 838 .datad(\label_sm|label_cl|Equal0~0_combout ), 839 .cin(gnd), 840 .combout(\label_aff_out_ena|q[0]~0_combout ), 841 .cout()); 842// synopsys translate_off 843defparam \label_aff_out_ena|q[0]~0 .lut_mask = 16'hD850; 844defparam \label_aff_out_ena|q[0]~0 .sum_lutc_input = "datac"; 845// synopsys translate_on 846 847// Location: FF_X1_Y6_N11 848dffeas \label_aff_out_ena|q[0] ( 849 .clk(\clk~inputclkctrl_outclk ), 850 .d(\label_aff_out_ena|q[0]~0_combout ), 851 .asdata(vcc), 852 .clrn(!\rst~inputclkctrl_outclk ), 853 .aload(gnd), 854 .sclr(gnd), 855 .sload(gnd), 856 .ena(vcc), 857 .devclrn(devclrn), 858 .devpor(devpor), 859 .q(\label_aff_out_ena|q [0]), 860 .prn(vcc)); 861// synopsys translate_off 862defparam \label_aff_out_ena|q[0] .is_wysiwyg = "true"; 863defparam \label_aff_out_ena|q[0] .power_up = "low"; 864// synopsys translate_on 865 866// Location: IOIBUF_X0_Y9_N8 867cycloneiii_io_ibuf \pin[0]~input ( 868 .i(pin[0]), 869 .ibar(gnd), 870 .o(\pin[0]~input_o )); 871// synopsys translate_off 872defparam \pin[0]~input .bus_hold = "false"; 873defparam \pin[0]~input .simulate_z_as = "z"; 874// synopsys translate_on 875 876// Location: LCCOMB_X1_Y6_N4 877cycloneiii_lcell_comb \label_aff_in|q[0]~feeder ( 878// Equation(s): 879// \label_aff_in|q[0]~feeder_combout = \pin[0]~input_o 880 881 .dataa(gnd), 882 .datab(gnd), 883 .datac(gnd), 884 .datad(\pin[0]~input_o ), 885 .cin(gnd), 886 .combout(\label_aff_in|q[0]~feeder_combout ), 887 .cout()); 888// synopsys translate_off 889defparam \label_aff_in|q[0]~feeder .lut_mask = 16'hFF00; 890defparam \label_aff_in|q[0]~feeder .sum_lutc_input = "datac"; 891// synopsys translate_on 892 893// Location: FF_X1_Y6_N5 894dffeas \label_aff_in|q[0] ( 895 .clk(\clk~inputclkctrl_outclk ), 896 .d(\label_aff_in|q[0]~feeder_combout ), 897 .asdata(vcc), 898 .clrn(!\rst~inputclkctrl_outclk ), 899 .aload(gnd), 900 .sclr(gnd), 901 .sload(gnd), 902 .ena(\first~input_o ), 903 .devclrn(devclrn), 904 .devpor(devpor), 905 .q(\label_aff_in|q [0]), 906 .prn(vcc)); 907// synopsys translate_off 908defparam \label_aff_in|q[0] .is_wysiwyg = "true"; 909defparam \label_aff_in|q[0] .power_up = "low"; 910// synopsys translate_on 911 912// Location: IOIBUF_X34_Y3_N22 913cycloneiii_io_ibuf \pin[1]~input ( 914 .i(pin[1]), 915 .ibar(gnd), 916 .o(\pin[1]~input_o )); 917// synopsys translate_off 918defparam \pin[1]~input .bus_hold = "false"; 919defparam \pin[1]~input .simulate_z_as = "z"; 920// synopsys translate_on 921 922// Location: FF_X1_Y6_N15 923dffeas \label_aff_in|q[1] ( 924 .clk(\clk~inputclkctrl_outclk ), 925 .d(gnd), 926 .asdata(\pin[1]~input_o ), 927 .clrn(!\rst~inputclkctrl_outclk ), 928 .aload(gnd), 929 .sclr(gnd), 930 .sload(vcc), 931 .ena(\first~input_o ), 932 .devclrn(devclrn), 933 .devpor(devpor), 934 .q(\label_aff_in|q [1]), 935 .prn(vcc)); 936// synopsys translate_off 937defparam \label_aff_in|q[1] .is_wysiwyg = "true"; 938defparam \label_aff_in|q[1] .power_up = "low"; 939// synopsys translate_on 940 941// Location: IOIBUF_X0_Y8_N22 942cycloneiii_io_ibuf \pin[2]~input ( 943 .i(pin[2]), 944 .ibar(gnd), 945 .o(\pin[2]~input_o )); 946// synopsys translate_off 947defparam \pin[2]~input .bus_hold = "false"; 948defparam \pin[2]~input .simulate_z_as = "z"; 949// synopsys translate_on 950 951// Location: FF_X1_Y6_N17 952dffeas \label_aff_in|q[2] ( 953 .clk(\clk~inputclkctrl_outclk ), 954 .d(gnd), 955 .asdata(\pin[2]~input_o ), 956 .clrn(!\rst~inputclkctrl_outclk ), 957 .aload(gnd), 958 .sclr(gnd), 959 .sload(vcc), 960 .ena(\first~input_o ), 961 .devclrn(devclrn), 962 .devpor(devpor), 963 .q(\label_aff_in|q [2]), 964 .prn(vcc)); 965// synopsys translate_off 966defparam \label_aff_in|q[2] .is_wysiwyg = "true"; 967defparam \label_aff_in|q[2] .power_up = "low"; 968// synopsys translate_on 969 970// Location: IOIBUF_X18_Y0_N1 971cycloneiii_io_ibuf \pin[3]~input ( 972 .i(pin[3]), 973 .ibar(gnd), 974 .o(\pin[3]~input_o )); 975// synopsys translate_off 976defparam \pin[3]~input .bus_hold = "false"; 977defparam \pin[3]~input .simulate_z_as = "z"; 978// synopsys translate_on 979 980// Location: LCCOMB_X1_Y6_N18 981cycloneiii_lcell_comb \label_aff_in|q[3]~feeder ( 982// Equation(s): 983// \label_aff_in|q[3]~feeder_combout = \pin[3]~input_o 984 985 .dataa(gnd), 986 .datab(gnd), 987 .datac(gnd), 988 .datad(\pin[3]~input_o ), 989 .cin(gnd), 990 .combout(\label_aff_in|q[3]~feeder_combout ), 991 .cout()); 992// synopsys translate_off 993defparam \label_aff_in|q[3]~feeder .lut_mask = 16'hFF00; 994defparam \label_aff_in|q[3]~feeder .sum_lutc_input = "datac"; 995// synopsys translate_on 996 997// Location: FF_X1_Y6_N19 998dffeas \label_aff_in|q[3] ( 999 .clk(\clk~inputclkctrl_outclk ), 1000 .d(\label_aff_in|q[3]~feeder_combout ), 1001 .asdata(vcc), 1002 .clrn(!\rst~inputclkctrl_outclk ), 1003 .aload(gnd), 1004 .sclr(gnd), 1005 .sload(gnd), 1006 .ena(\first~input_o ), 1007 .devclrn(devclrn), 1008 .devpor(devpor), 1009 .q(\label_aff_in|q [3]), 1010 .prn(vcc)); 1011// synopsys translate_off 1012defparam \label_aff_in|q[3] .is_wysiwyg = "true"; 1013defparam \label_aff_in|q[3] .power_up = "low"; 1014// synopsys translate_on 1015 1016// Location: IOIBUF_X0_Y4_N22 1017cycloneiii_io_ibuf \pin[4]~input ( 1018 .i(pin[4]), 1019 .ibar(gnd), 1020 .o(\pin[4]~input_o )); 1021// synopsys translate_off 1022defparam \pin[4]~input .bus_hold = "false"; 1023defparam \pin[4]~input .simulate_z_as = "z"; 1024// synopsys translate_on 1025 1026// Location: LCCOMB_X1_Y6_N12 1027cycloneiii_lcell_comb \label_aff_in|q[4]~feeder ( 1028// Equation(s): 1029// \label_aff_in|q[4]~feeder_combout = \pin[4]~input_o 1030 1031 .dataa(gnd), 1032 .datab(gnd), 1033 .datac(gnd), 1034 .datad(\pin[4]~input_o ), 1035 .cin(gnd), 1036 .combout(\label_aff_in|q[4]~feeder_combout ), 1037 .cout()); 1038// synopsys translate_off 1039defparam \label_aff_in|q[4]~feeder .lut_mask = 16'hFF00; 1040defparam \label_aff_in|q[4]~feeder .sum_lutc_input = "datac"; 1041// synopsys translate_on 1042 1043// Location: FF_X1_Y6_N13 1044dffeas \label_aff_in|q[4] ( 1045 .clk(\clk~inputclkctrl_outclk ), 1046 .d(\label_aff_in|q[4]~feeder_combout ), 1047 .asdata(vcc), 1048 .clrn(!\rst~inputclkctrl_outclk ), 1049 .aload(gnd), 1050 .sclr(gnd), 1051 .sload(gnd), 1052 .ena(\first~input_o ), 1053 .devclrn(devclrn), 1054 .devpor(devpor), 1055 .q(\label_aff_in|q [4]), 1056 .prn(vcc)); 1057// synopsys translate_off 1058defparam \label_aff_in|q[4] .is_wysiwyg = "true"; 1059defparam \label_aff_in|q[4] .power_up = "low"; 1060// synopsys translate_on 1061 1062// Location: IOIBUF_X0_Y7_N22 1063cycloneiii_io_ibuf \pin[5]~input ( 1064 .i(pin[5]), 1065 .ibar(gnd), 1066 .o(\pin[5]~input_o )); 1067// synopsys translate_off 1068defparam \pin[5]~input .bus_hold = "false"; 1069defparam \pin[5]~input .simulate_z_as = "z"; 1070// synopsys translate_on 1071 1072// Location: FF_X1_Y6_N31 1073dffeas \label_aff_in|q[5] ( 1074 .clk(\clk~inputclkctrl_outclk ), 1075 .d(gnd), 1076 .asdata(\pin[5]~input_o ), 1077 .clrn(!\rst~inputclkctrl_outclk ), 1078 .aload(gnd), 1079 .sclr(gnd), 1080 .sload(vcc), 1081 .ena(\first~input_o ), 1082 .devclrn(devclrn), 1083 .devpor(devpor), 1084 .q(\label_aff_in|q [5]), 1085 .prn(vcc)); 1086// synopsys translate_off 1087defparam \label_aff_in|q[5] .is_wysiwyg = "true"; 1088defparam \label_aff_in|q[5] .power_up = "low"; 1089// synopsys translate_on 1090 1091// Location: IOIBUF_X11_Y24_N8 1092cycloneiii_io_ibuf \pin[6]~input ( 1093 .i(pin[6]), 1094 .ibar(gnd), 1095 .o(\pin[6]~input_o )); 1096// synopsys translate_off 1097defparam \pin[6]~input .bus_hold = "false"; 1098defparam \pin[6]~input .simulate_z_as = "z"; 1099// synopsys translate_on 1100 1101// Location: LCCOMB_X1_Y6_N8 1102cycloneiii_lcell_comb \label_aff_in|q[6]~feeder ( 1103// Equation(s): 1104// \label_aff_in|q[6]~feeder_combout = \pin[6]~input_o 1105 1106 .dataa(gnd), 1107 .datab(gnd), 1108 .datac(gnd), 1109 .datad(\pin[6]~input_o ), 1110 .cin(gnd), 1111 .combout(\label_aff_in|q[6]~feeder_combout ), 1112 .cout()); 1113// synopsys translate_off 1114defparam \label_aff_in|q[6]~feeder .lut_mask = 16'hFF00; 1115defparam \label_aff_in|q[6]~feeder .sum_lutc_input = "datac"; 1116// synopsys translate_on 1117 1118// Location: FF_X1_Y6_N9 1119dffeas \label_aff_in|q[6] ( 1120 .clk(\clk~inputclkctrl_outclk ), 1121 .d(\label_aff_in|q[6]~feeder_combout ), 1122 .asdata(vcc), 1123 .clrn(!\rst~inputclkctrl_outclk ), 1124 .aload(gnd), 1125 .sclr(gnd), 1126 .sload(gnd), 1127 .ena(\first~input_o ), 1128 .devclrn(devclrn), 1129 .devpor(devpor), 1130 .q(\label_aff_in|q [6]), 1131 .prn(vcc)); 1132// synopsys translate_off 1133defparam \label_aff_in|q[6] .is_wysiwyg = "true"; 1134defparam \label_aff_in|q[6] .power_up = "low"; 1135// synopsys translate_on 1136 1137// Location: IOIBUF_X7_Y0_N22 1138cycloneiii_io_ibuf \pin[7]~input ( 1139 .i(pin[7]), 1140 .ibar(gnd), 1141 .o(\pin[7]~input_o )); 1142// synopsys translate_off 1143defparam \pin[7]~input .bus_hold = "false"; 1144defparam \pin[7]~input .simulate_z_as = "z"; 1145// synopsys translate_on 1146 1147// Location: FF_X1_Y6_N3 1148dffeas \label_aff_in|q[7] ( 1149 .clk(\clk~inputclkctrl_outclk ), 1150 .d(gnd), 1151 .asdata(\pin[7]~input_o ), 1152 .clrn(!\rst~inputclkctrl_outclk ), 1153 .aload(gnd), 1154 .sclr(gnd), 1155 .sload(vcc), 1156 .ena(\first~input_o ), 1157 .devclrn(devclrn), 1158 .devpor(devpor), 1159 .q(\label_aff_in|q [7]), 1160 .prn(vcc)); 1161// synopsys translate_off 1162defparam \label_aff_in|q[7] .is_wysiwyg = "true"; 1163defparam \label_aff_in|q[7] .power_up = "low"; 1164// synopsys translate_on 1165 1166// Location: LCCOMB_X2_Y6_N18 1167cycloneiii_lcell_comb \label_aff_out|q[0]~feeder ( 1168// Equation(s): 1169// \label_aff_out|q[0]~feeder_combout = VCC 1170 1171 .dataa(gnd), 1172 .datab(gnd), 1173 .datac(gnd), 1174 .datad(gnd), 1175 .cin(gnd), 1176 .combout(\label_aff_out|q[0]~feeder_combout ), 1177 .cout()); 1178// synopsys translate_off 1179defparam \label_aff_out|q[0]~feeder .lut_mask = 16'hFFFF; 1180defparam \label_aff_out|q[0]~feeder .sum_lutc_input = "datac"; 1181// synopsys translate_on 1182 1183// Location: LCCOMB_X2_Y6_N28 1184cycloneiii_lcell_comb \label_sm|label_cl|Equal0~2 ( 1185// Equation(s): 1186// \label_sm|label_cl|Equal0~2_combout = (\label_sm|label_cl|Equal0~1_combout & \label_sm|label_cl|Equal0~0_combout ) 1187 1188 .dataa(gnd), 1189 .datab(gnd), 1190 .datac(\label_sm|label_cl|Equal0~1_combout ), 1191 .datad(\label_sm|label_cl|Equal0~0_combout ), 1192 .cin(gnd), 1193 .combout(\label_sm|label_cl|Equal0~2_combout ), 1194 .cout()); 1195// synopsys translate_off 1196defparam \label_sm|label_cl|Equal0~2 .lut_mask = 16'hF000; 1197defparam \label_sm|label_cl|Equal0~2 .sum_lutc_input = "datac"; 1198// synopsys translate_on 1199 1200// Location: FF_X2_Y6_N19 1201dffeas \label_aff_out|q[0] ( 1202 .clk(\clk~inputclkctrl_outclk ), 1203 .d(\label_aff_out|q[0]~feeder_combout ), 1204 .asdata(vcc), 1205 .clrn(!\rst~inputclkctrl_outclk ), 1206 .aload(gnd), 1207 .sclr(gnd), 1208 .sload(gnd), 1209 .ena(\label_sm|label_cl|Equal0~2_combout ), 1210 .devclrn(devclrn), 1211 .devpor(devpor), 1212 .q(\label_aff_out|q [0]), 1213 .prn(vcc)); 1214// synopsys translate_off 1215defparam \label_aff_out|q[0] .is_wysiwyg = "true"; 1216defparam \label_aff_out|q[0] .power_up = "low"; 1217// synopsys translate_on 1218 1219// Location: LCCOMB_X2_Y6_N20 1220cycloneiii_lcell_comb \label_aff_out|q[1]~feeder ( 1221// Equation(s): 1222// \label_aff_out|q[1]~feeder_combout = VCC 1223 1224 .dataa(gnd), 1225 .datab(gnd), 1226 .datac(gnd), 1227 .datad(gnd), 1228 .cin(gnd), 1229 .combout(\label_aff_out|q[1]~feeder_combout ), 1230 .cout()); 1231// synopsys translate_off 1232defparam \label_aff_out|q[1]~feeder .lut_mask = 16'hFFFF; 1233defparam \label_aff_out|q[1]~feeder .sum_lutc_input = "datac"; 1234// synopsys translate_on 1235 1236// Location: FF_X2_Y6_N21 1237dffeas \label_aff_out|q[1] ( 1238 .clk(\clk~inputclkctrl_outclk ), 1239 .d(\label_aff_out|q[1]~feeder_combout ), 1240 .asdata(vcc), 1241 .clrn(!\rst~inputclkctrl_outclk ), 1242 .aload(gnd), 1243 .sclr(gnd), 1244 .sload(gnd), 1245 .ena(\label_sm|label_cl|Equal0~2_combout ), 1246 .devclrn(devclrn), 1247 .devpor(devpor), 1248 .q(\label_aff_out|q [1]), 1249 .prn(vcc)); 1250// synopsys translate_off 1251defparam \label_aff_out|q[1] .is_wysiwyg = "true"; 1252defparam \label_aff_out|q[1] .power_up = "low"; 1253// synopsys translate_on 1254 1255// Location: LCCOMB_X2_Y6_N30 1256cycloneiii_lcell_comb \label_aff_out|q[3]~feeder ( 1257// Equation(s): 1258// \label_aff_out|q[3]~feeder_combout = VCC 1259 1260 .dataa(gnd), 1261 .datab(gnd), 1262 .datac(gnd), 1263 .datad(gnd), 1264 .cin(gnd), 1265 .combout(\label_aff_out|q[3]~feeder_combout ), 1266 .cout()); 1267// synopsys translate_off 1268defparam \label_aff_out|q[3]~feeder .lut_mask = 16'hFFFF; 1269defparam \label_aff_out|q[3]~feeder .sum_lutc_input = "datac"; 1270// synopsys translate_on 1271 1272// Location: FF_X2_Y6_N31 1273dffeas \label_aff_out|q[3] ( 1274 .clk(\clk~inputclkctrl_outclk ), 1275 .d(\label_aff_out|q[3]~feeder_combout ), 1276 .asdata(vcc), 1277 .clrn(!\rst~inputclkctrl_outclk ), 1278 .aload(gnd), 1279 .sclr(gnd), 1280 .sload(gnd), 1281 .ena(\label_sm|label_cl|Equal0~2_combout ), 1282 .devclrn(devclrn), 1283 .devpor(devpor), 1284 .q(\label_aff_out|q [3]), 1285 .prn(vcc)); 1286// synopsys translate_off 1287defparam \label_aff_out|q[3] .is_wysiwyg = "true"; 1288defparam \label_aff_out|q[3] .power_up = "low"; 1289// synopsys translate_on 1290 1291endmodule